Part Number Hot Search : 
30009 D5000 00C562 6143A 933070 PWR1110 RPM873 01726203
Product Description
Full Text Search
 

To Download ADSP-TS101S Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 a
KEY FEATURES
300 MHz, 3.3 ns instruction cycle rate 6M bits of internal--on-chip--SRAM memory 19 mm x 19 mm (484-ball) or 27 mm x 27 mm (625-ball) PBGA package Dual computation blocks--each containing an ALU, a multiplier, a shifter, and a register file Dual integer ALUs, providing data addressing and pointer manipulation Integrated I/O includes 14-channel DMA controller, external port, four link ports, SDRAM controller, programmable flag pins, two timers, and timer expired pin for system integration 1149.1 IEEE compliant JTAG test access port for on-chip emulation On-chip arbitration for glueless multiprocessing with up to eight TigerSHARC processors on a bus
TigerSHARC(R) Embedded Processor ADSP-TS101S
KEY BENEFITS
Provides high performance Static Superscalar DSP operations, optimized for telecommunications infrastructure and other large, demanding multiprocessor DSP applications Performs exceptionally well on DSP algorithm and I/O benchmarks (see benchmarks in Table 1 and Table 2) Supports low overhead DMA transfers between internal memory, external memory, memory-mapped peripherals, link ports, other DSPs (multiprocessor), and host processors Eases DSP programming through extremely flexible instruction set and high level language friendly DSP architecture Enables scalable multiprocessing systems with low communications overhead
COMPUTATIONAL BLOCKS SHIFTER
PROGRAM SEQUENCER PC BTB IRQ
DATA ADDRESS GENERATION INTEGER J ALU 32 x 32 32 32 INTEGER K ALU 32 x 32
INTERNAL MEMORY MEMORY M0 64K x 32 A D MEMORY M1 64K x 32 A D MEMORY M2 64K x 32 A D JTAG PORT
6
ALU MULTIPLIER
IAB
ADDR FETCH
SDRAM CONTROLLER
32 X REGISTER FILE 32 x 32 128 DAB 128 128 128
M0 ADDR M0 DATA
EXTERNAL PORT MULTIPROCESSOR INTERFACE 32 HOST INTERFACE
32
M1 ADDR M1 DATA INPUT FIFO
ADDR 64 DATA
OUTPUT BUFFER DAB 128 128 32 128 M2 ADDR OUTPUT FIFO M2 DATA I/O ADDRESS I/O PROCESSOR DMA CONTROLLER DMA ADDRESS ALU CONTROL/ STATUS/ TCBs DMA DATA 32 256 256 LINK PORT CONTROLLER LINK PORTS CONTROL/ STATUS/ BUFFERS L2 L0 32 CLUSTER BUS ARBITER
CNTRL
Y REGISTER FILE 32 x 32 MULTIPLIER
3 8 3 L1 LINK DATA 3 8 3 L3 8 8
SHIFTER
Figure 1. Functional Block Diagram
TigerSHARC and the TigerSHARC logo are registered trademarks of Analog Devices, Inc.
Rev. B
Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners.
One Technology Way, P.O.Box 9106, Norwood, MA 02062-9106 U.S.A. Tel: 781/329-4700 www.analog.com Fax: 781/326-8703 (c) 2004 Analog Devices, Inc. All rights reserved.
ADSP-TS101S
TABLE OF CONTENTS
General Description ................................................. 3 Dual Compute Blocks ............................................ 4 Data Alignment Buffer (DAB) .................................. 4 Dual Integer ALUs (IALUs) .................................... 4 Program Sequencer ............................................... 5 Interrupt Controller ........................................... 5 Flexible Instruction Set ........................................ 5 On-Chip SRAM Memory ........................................ 5 External Port (Off-Chip Memory/Peripherals Interface) ................ 6 Host Interface ................................................... 6 Multiprocessor Interface ...................................... 7 SDRAM Controller ............................................ 7 EPROM Interface .............................................. 7 DMA Controller ................................................... 7 Link Ports ........................................................... 8 Timer and General-Purpose I/O ............................... 9 Reset and Booting ................................................. 9 Low Power Operation ............................................ 9 Clock Domains .................................................... 9 Output Pin Drive Strength Control ......................... 10 Power Supplies ................................................... 10 Filtering Reference Voltage and Clocks .................... 10 Development Tools ............................................. 10 Designing an EmulatorCompatible DSP Board (Target) .......................... 11 Additional Information ........................................ 11 Pin Function Descriptions ....................................... 12 Pin States at Reset ............................................... 12 Pin Definitions ................................................... 12 Strap Pin Function Descriptions ................................ 19 Specifications ........................................................ 20 Recommended Operating Conditions ...................... 20 Electrical Characteristics ....................................... 20 Absolute Maximum Ratings .................................. 21 ESD Sensitivity ................................................... 21 Timing Specifications .......................................... 21 General AC Timing .......................................... 21 Link Ports Data Transfer and Token Switch Timing ............................... 29 Output Drive Currents ......................................... 32 Test Conditions .................................................. 34 Output Disable Time ......................................... 34 Output Enable Time ......................................... 34 Capacitive Loading ........................................... 34 Environmental Conditions .................................... 36 Thermal Characteristics ..................................... 36 PBGA Pin Configurations ........................................ 36 Outline Dimensions ................................................ 43 Ordering Guide ..................................................... 44
REVISION HISTORY
12/04--Rev. A to Rev. B Provides more information on clock signals (including a usable jitter specification) in: Reference Clocks--Core Clock (CCLK) Cycle Time ..... 22 Reference Clocks--Local Clock (LCLK) Cycle Time .... 22 Reference Clocks--System Clock (SCLK) Cycle Time .. 23 Reference Clocks--Test Clock (TCK) Cycle Time ....... 23 Updates input setup times for external port pins in: AC Signal Specifications (for SCLK <16.7 ns) ............. 25
Rev. B
|
Page 2 of 44 |
December 2004
ADSP-TS101S
GENERAL DESCRIPTION
The ADSP-TS101S TigerSHARC processor is an ultrahigh performance, static superscalar processor optimized for large signal processing tasks and communications infrastructure. The DSP combines very wide memory widths with dual computation blocks--supporting 32- and 40-bit floating-point and 8-, 16-, 32-, and 64-bit fixed-point processing--to set a new standard of performance for digital signal processors. The TigerSHARC processor's static superscalar architecture lets the processor execute up to four instructions each cycle, performing 24 fixedpoint (16-bit) operations or six floating-point operations. Three independent 128-bit wide internal data buses, each connecting to one of the three 2M bit memory banks, enable quad word data, instruction, and I/O accesses and provide 14.4G bytes per second of internal memory bandwidth. Operating at 300 MHz, the ADSP-TS101S processor's core has a 3.3 ns instruction cycle time. Using its Single-Instruction, MultipleData (SIMD) features, the ADSP-TS101S can perform 2.4 billion 40-bit MACs or 600 million 80-bit MACs per second. Table 1 and Table 2 show the DSP's performance benchmarks. Table 1. General-Purpose Algorithm Benchmarks at 300 MHz
Clock Benchmark Speed Cycles 32-bit algorithm, 600 million MACs/s peak performance 1024 point complex FFT (Radix 2) 32.78 s 9,835 50-tap FIR on 1024 input 91.67 s 27,500 Single FIR MAC 1.83 ns 0.55 16-bit algorithm, 2.4 billion MACs/s peak performance 256 point complex FFT (Radix 2) 3.67 s 1,100 50-tap FIR on 1024 input 24.0 s 7,200 Single FIR MAC 0.47 ns 0.14 Single complex FIR MAC 1.9 ns 0.57 I/O DMA transfer rate External port 800M bytes/s n/a Link ports (each) 250M bytes/s n/a
The ADSP-TS101S is code compatible with the other TigerSHARC processors. The Functional Block Diagram on Page 1 shows the ADSPTS101S processor's architectural blocks. These blocks include: * Dual compute blocks, each consisting of an ALU, multiplier, 64-bit shifter, and 32-word register file and associated data alignment buffers (DABs) * Dual integer ALUs (IALUs), each with its own 31-word register file for data addressing * A program sequencer with instruction alignment buffer (IAB), branch target buffer (BTB), and interrupt controller * Three 128-bit internal data buses, each connecting to one of three 2M bit memory banks * On-chip SRAM (6M bit) * An external port that provides the interface to host processors, multiprocessing space (DSPs), off-chip memory mapped peripherals, and external SRAM and SDRAM * A 14-channel DMA controller * Four link ports * Two 64-bit interval timers and timer expired pin * A 1149.1 IEEE compliant JTAG test access port for on-chip emulation Figure 2 shows a typical single processor system with external SDRAM. Figure 4 on Page 8 shows a typical multiprocessor system. The TigerSHARC processor uses a Static SuperscalarTM architecture. This architecture is superscalar in that the ADSP-TS101S processor's core can execute simultaneously from one to four 32-bit instructions encoded in a very large instruction word (VLIW) instruction line using the DSP's dual compute blocks. Because the DSP does not perform instruction reordering at run-time--the programmer selects which operations will execute in parallel prior to run-time--the order of instructions is static. With few exceptions, an instruction line, whether it contains one, two, three, or four 32-bit instructions, executes with a throughput of one cycle in an eight-deep processor pipeline. For optimal DSP program execution, programmers must follow the DSP's set of instruction parallelism rules when encoding an instruction line. In general, the selection of instructions that the DSP can execute in parallel each cycle depends on the instruction line resources each instruction requires and on the source and destination registers used in the instructions. The programmer has direct control of three core components--the IALUs, the compute blocks, and the program sequencer. The ADSP-TS101S, in most cases, has a two-cycle arithmetic execution pipeline that is fully interlocked, so whenever a computation result is unavailable for another operation dependent
Table 2. 3G Wireless Algorithm Benchmarks
Benchmark Turbo decode 384 kbps data channel Viterbi decode 12.2 kbps AMR3 voice channel Complex correlation 3.84 Mcps4 with a spreading factor of 256
1 2
Execution (MIPS)1 51 MIPS2 0.86 MIPS 0.27 MIPS
The execution speed is in instruction cycles per second. This value is for six iterations of the algorithm. For eight iterations of the turbo decoder, this benchmark is 67 MIPS. 3 Adaptive multi rate (AMR) 4 Megachips per second (Mcps)
Static Superscalar is a trademark of Analog Devices, Inc.
Rev. B
|
Page 3 of 44 |
December 2004
ADSP-TS101S
ADSP-TS101S
LCLK_P CLOCK REFERENCE BMS SCLK_P S/LCLK_N VREF BRST LCLKRAT2-0 SCLKFREQ ADDR31-0 IRQ3-0 FLAG3-0 ID2-0 MSSD RAS CAS LDQM HDQM SDWE SDCKE SDA10 FLYBY IOEN LINK DEVICES (4 MAX) (OPTIONAL) LXDAT7-0 LXCLKIN LXCLKOUT LXDIR TMR0E BM BUSLOCK CONTROLIMP2-0 DS2-0 RESET JTAG MSH HBR HBG BR7-0 CPA DPA BOFF DMAR3-0
DMA DEVICE (OPTIONAL) HOST PROCESSOR INTERFACE (OPTIONAL) BOOT EPROM (OPTIONAL)
storing intermediate results. Instructions can access the registers in the register file individually (word aligned), or in sets of two (dual aligned) or four (quad aligned). * ALU--the ALU performs a standard set of arithmetic operations in both fixed- and floating-point formats. It also performs logic operations. * Multiplier--the multiplier performs both fixed- and floating-point multiplication and fixed-point multiply and accumulate. * Shifter--the 64-bit shifter performs logical and arithmetic shifts, bit and bit stream manipulation, and field deposit and extraction operations. * Accelerator--128-bit unit for trellis decoding (for example, Viterbi and turbo decoders) and complex correlations for communication applications. Using these features, the compute blocks can: * Provide 8 MACs per cycle peak and 7.1 MACs per cycle sustained 16-bit performance and provide 2 MACs per cycle peak and 1.8 MACs per cycle sustained 32-bit performance (based on FIR) * Execute six single precision floating-point or execute 24 fixed-point (16-bit) operations per cycle, providing 1,800 MFLOPS or 7.3 GOPS performance * Perform two complex 16-bit MACs per cycle * Execute eight trellis butterflies in one cycle
CS ADDR DATA
MEMORY (OPTIONAL)
ADDR DATA OE WE ACK CS
SDRAM MEMORY (OPTIONAL)
DATA63-0 RD WRH/WRL ACK MS1-0
CLK CS ADDR RAS DATA CAS DQM WE CKE A10
ADDR DATA
DATA
CONTROL
ADDRESS
DATA
Figure 2. Single Processor System with External SDRAM
DATA ALIGNMENT BUFFER (DAB)
The DAB is a quad word FIFO that enables loading of quad word data from nonaligned addresses. Normally, load instructions must be aligned to their data size so that quad words are loaded from a quad aligned address. Using the DAB significantly improves the efficiency of some applications, such as FIR filters.
on it, the DSP automatically inserts one or more stall cycles as needed. Efficient programming with dependency-free instructions can eliminate most computational and memory transfer data dependencies. In addition, the ADSP-TS101S supports SIMD operations two ways--SIMD compute blocks and SIMD computations.The programmer can direct both compute blocks to operate on the same data (broadcast distribution) or on different data (merged distribution). In addition, each compute block can execute four 16-bit or eight 8-bit SIMD computations in parallel.
DUAL INTEGER ALUS (IALUS)
The ADSP-TS101S has two IALUs that provide powerful address generation capabilities and perform many general-purpose integer operations. Each of the IALUs: * Provides memory addresses for data and update pointers * Supports circular buffering and bit-reverse addressing * Performs general-purpose integer operations, increasing programming flexibility * Includes a 31-word register file for each IALU As address generators, the IALUs perform immediate or indirect (pre- and post-modify) addressing. They perform modulus and bit-reverse operations with no constraints placed on memory addresses for the modulus data buffer placement. Each IALU can specify either a single, dual, or quad word access from memory. The IALUs have hardware support for circular buffers, bit reverse, and zero-overhead looping. Circular buffers facilitate efficient programming of delay lines and other data structures required in digital signal processing, and they are commonly
December 2004
DUAL COMPUTE BLOCKS
The ADSP-TS101S has compute blocks that can execute computations either independently or together as a SIMD engine. The DSP can issue up to two compute instructions per compute block each cycle, instructing the ALU, multiplier, or shifter to perform independent, simultaneous operations. The compute blocks are referred to as X and Y in assembly syntax, and each block contains three computational units--an ALU, a multiplier, a 64-bit shifter--and a 32-word register file. * Register file--each compute block has a multiported 32-word, fully orthogonal register file used for transferring data between the computation units and data buses and for
Rev. B
|
Page 4 of 44 |
ADSP-TS101S
used in digital filters and Fourier transforms. Each IALU provides registers for four circular buffers, so applications can set up a total of eight circular buffers. The IALUs handle address pointer wraparound automatically, reducing overhead, increasing performance, and simplifying implementation. Circular buffers can start and end at any memory location. Because the IALU's computational pipeline is one cycle deep, in most cases, integer results are available in the next cycle. Hardware (register dependency check) causes a stall if a result is unavailable in a given cycle.
Flexible Instruction Set
The 128-bit instruction line, which can contain up to four 32-bit instructions, accommodates a variety of parallel operations for concise programming. For example, one instruction line can direct the DSP to conditionally execute a multiply, an add, and a subtract in both computation blocks while it also branches to another location in the program. Some key features of the instruction set include: * Enhanced instructions for communications infrastructure to govern trellis decoding (for example, Viterbi and turbo decoders) and despreading via complex correlations * Algebraic assembly language syntax * Direct support for all DSP, imaging, and video arithmetic types, eliminating hardware modes * Branch prediction encoded in instruction, enables zerooverhead loops * Parallelism encoded in instruction line * Conditional execution optional for all instructions * User-defined, programmable partitioning between program and data memory
PROGRAM SEQUENCER
The ADSP-TS101S processor's program sequencer supports: * A fully interruptible programming model with flexible programming in assembly and C/C++ languages; handles hardware interrupts with high throughput and no aborted instruction cycles. * An eight-cycle instruction pipeline--three-cycle fetch pipe and five-cycle execution pipe--with computation results available two cycles after operands are available. * The supply of instruction fetch memory addresses; the sequencer's instruction alignment buffer (IAB) caches up to five fetched instruction lines waiting to execute; the program sequencer extracts an instruction line from the IAB and distributes it to the appropriate core component for execution. * The management of program structures and determination of program flow according to JUMP, CALL, RTI, RTS instructions, loop structures, conditions, interrupts, and software exceptions. * Branch prediction and a 128-entry branch target buffer (BTB) to reduce branch delays for efficient execution of conditional and unconditional branch instructions and zero-overhead looping; correctly predicted branches that are taken occur with zero-to-two overhead cycles, overcoming the three-to-six stage branch penalty. * Compact code without the requirement to align code in memory; the IAB handles alignment.
ON-CHIP SRAM MEMORY
The ADSP-TS101S has 6M bits of on-chip SRAM memory, divided into three blocks of 2M bits (64K words x 32 bits). Each block--M0, M1, and M2--can store program, data, or both, so applications can configure memory to suit specific needs. Placing program instructions and data in different memory blocks, however, enables the DSP to access data while performing an instruction fetch. The DSP's internal and external memory (Figure 3) is organized into a unified memory map, which defines the location (address) of all elements in the system. The memory map is divided into four memory areas--host space, external memory, multiprocessor space, and internal memory--and each memory space, except host memory, is subdivided into smaller memory spaces. Each internal memory block connects to one of the 128-bit wide internal buses--block M0 to bus MD0, block M1 to bus MD1, and block M2 to bus MD2--enabling the DSP to perform three memory transfers in the same cycle. The DSP's internal bus architecture provides a total memory bandwidth of 14.4G bytes per second, enabling the core and I/O to access eight 32-bit data words (256 bits) and four 32-bit instructions each cycle. The DSP's flexible memory structure enables: * DSP core and I/O access of different memory blocks in the same cycle * DSP core access of all three memory blocks in parallel-- one instruction and two data accesses * Programmable partitioning of program and data memory * Program access of all memory as 32-, 64-, or 128-bit words--16-bit words with the DAB * Complete context switch in less than 20 cycles (66 ns)
Interrupt Controller
The DSP supports nested and non-nested interrupts. Each interrupt type has a register in the interrupt vector table. Also, each has a bit in both the interrupt latch register and the interrupt mask register. All interrupts are fixed as either level sensitive or edge sensitive, except the IRQ3-0 hardware interrupts, which are programmable. The DSP distinguishes between hardware interrupts and software exceptions, handling them differently. When a software exception occurs, the DSP aborts all other instructions in the instruction pipe. When a hardware interrupt occurs, the DSP continues to execute instructions already in the instruction pipe.
Rev. B
|
Page 5 of 44 |
December 2004
ADSP-TS101S
GLOBAL SPACE 0xFFFFFFFF
HOST (MSH)
INTERNAL SPACE 0x003FFFFF
EXTERNAL MEMORY SPACE
0x10000000 BANK 1 (MS1) 0x0C000000 BANK 0 (MS0) 0x08000000 SDRAM (MSSD) 0x04000000
MULTIPROCESSOR MEMORY SPACE
0x00300000
RESERVED
0x00280000
0x00200000 PROCESSOR ID 7 PROCESSOR ID 6
0x03C00000 0x03800000 PROCESSOR ID 5 0x03400000 PROCESSOR ID 4 0x03000000 PROCESSOR ID 3 0x02C00000 PROCESSOR ID 2 0x02800000 PROCESSOR ID 1 0x02400000 PROCESSOR ID 0 0x02000000 BROADCAST 0x01C00000 EACH IS A COPY OF INTERNAL SPACE
0x001807FF INTERNAL REGISTERS (UREGS) 0x00180000 RESERVED 0x0010FFFF INTERNAL MEMORY 2 0x00100000 RESERVED 0x0008FFFF INTERNAL MEMORY 1 0x00080000
RESERVED RESERVED 0x0000FFFF INTERNAL MEMORY 0 0x00000000 INTERNAL MEMORY 0x00000000 0x003FFFFF
Figure 3. Memory Map
EXTERNAL PORT (OFF-CHIP MEMORY/PERIPHERALS INTERFACE)
The ADSP-TS101S processor's external port provides the processor's interface to off-chip memory and peripherals. The 4G word address space is included in the DSP's unified address space. The separate on-chip buses--three 128-bit data buses and three 32-bit address buses--are multiplexed at the external port to create an external system bus with a single 64-bit data bus and a single 32-bit address bus. The external port supports data transfer rates of 800M bytes per second over external bus. The external bus can be configured for 32- or 64-bit operation. When the system bus is configured for 64-bit operation, the lower 32 bits of the external data bus connect to even addresses, and the upper 32 bits connect to odd addresses. The external port supports pipelined, slow, and SDRAM protocols. Addressing of external memory devices and memory mapped peripherals is facilitated by on-chip decoding of high order address lines to generate memory bank select signals. The ADSP-TS101S provides programmable memory, pipeline depth, and idle cycle for synchronous accesses, and external acknowledge controls to support interfacing to pipelined or slow devices, host processors, and other memory-mapped peripherals with variable access, hold, and disable time requirements.
Host Interface
The ADSP-TS101S provides an easy and configurable interface between its external bus and host processors through the external port. To accommodate a variety of host processors, the host
Rev. B
|
Page 6 of 44 |
December 2004
ADSP-TS101S
interface supports pipelined or slow protocols for accesses of the host as slave. Each protocol has programmable transmission parameters, such as idle cycles, pipe depth, and internal wait cycles. The host interface supports burst transactions initiated by a host processor. After the host issues the starting address of the burst and asserts the BRST signal, the DSP increments the address internally while the host continues to assert BRST. The host interface provides a deadlock recovery mechanism that enables a host to recover from deadlock situations involving the DSP. The BOFF signal provides the deadlock recovery mechanism. When the host asserts BOFF, the DSP backs off the current transaction and asserts HBG and relinquishes the external bus. The host can directly read or write the internal memory of the ADSP-TS101S, and it can access most of the DSP registers, including DMA control (TCB) registers. Vector interrupts support efficient execution of host commands.
EPROM Interface
The ADSP-TS101S can be configured to boot from external 8-bit EPROM at reset through the external port. An automatic process (which follows reset) loads a program from the EPROM into internal memory. This process uses 16 wait cycles for each read access. During booting, the BMS pin functions as the EPROM chip select signal. The EPROM boot procedure uses DMA Channel 0, which packs the bytes into 32-bit instructions. Applications can also access the EPROM (write flash memories) during normal operation through DMA. The EPROM or flash memory interface is not mapped in the DSP's unified memory map. It is a byte address space limited to a maximum of 16M bytes (24 address bits). The EPROM or flash memory interface can be used after boot via a DMA.
DMA CONTROLLER
The ADSP-TS101S processor's on-chip DMA controller, with 14 DMA channels, provides zero-overhead data transfers without processor intervention. The DMA controller operates independently and invisibly to the DSP's core, enabling DMA operations to occur while the DSP's core continues to execute program instructions. The DMA controller performs DMA transfers between: * Internal memory and external memory and memorymapped peripherals * Internal memory of other DSPs on a common bus, a host processor, or link port I/O * External memory and external peripherals or link port I/O * External bus master and internal memory or link port I/O The DMA controller provides a number of additional features. The DMA controller supports flyby transfers. Flyby operations only occur through the external port (DMA Channel 0) and do not involve the DSP's core. The DMA controller acts as a conduit to transfer data from one external device to another through external memory. During a transaction, the DSP: * Relinquishes the external data bus * Outputs addresses, memory selects (MS1-0, MSSD, RAS, CAS, and SDWE) and the FLYBY, IOEN, and RD/WR strobes * Responds to ACK DMA chaining is also supported by the DMA controller. DMA chaining operations enable applications to automatically link one DMA transfer sequence to another for continuous transmission. The sequences can occur over different DMA channels and have different transmission attributes. The DMA controller also supports two-dimensional transfers. The DMA controller can access and transfer two-dimensional memory arrays on any DMA transmit or receive channel. These transfers are implemented with index, count, and modify registers for both the X and Y dimensions.
Multiprocessor Interface
The ADSP-TS101S offers powerful features tailored to multiprocessing DSP systems through the external port and link ports. This multiprocessing capability provides highest bandwidth for interprocessor communication, including: * Up to eight DSPs on a common bus * On-chip arbitration for glueless multiprocessing * Link ports for point-to-point communication The external port and link ports provide integrated, glueless multiprocessing support. The external port supports a unified address space (see Figure 3) that enables direct interprocessor accesses of each ADSPTS101S processor's internal memory and registers. The DSP's on-chip distributed bus arbitration logic provides simple, glueless connection for systems containing up to eight ADSPTS101S processors and a host processor. Bus arbitration has a rotating priority. Bus lock supports indivisible read-modifywrite sequences for semaphores. A bus fairness feature prevents one DSP from holding the external bus too long. The DSP's four link ports provide a second path for interprocessor communications with throughput of 1G bytes per second. The cluster bus provides 800M bytes per second throughput-- with a total of 1.8G bytes per second interprocessor bandwidth.
SDRAM Controller
The SDRAM controller controls the ADSP-TS101S processor's transfers of data to and from synchronous DRAM (SDRAM). The throughput is 32 or 64 bits per SCLK cycle using the external port and SDRAM control pins. The SDRAM interface provides a glueless interface with standard SDRAMs--16M bit, 64M bit, 128M bit, and 256M bit. The DSP directly supports a maximum of 64M words x 32 bits of SDRAM. The SDRAM interface is mapped in external memory in the DSP's unified memory map.
Rev. B
|
Page 7 of 44 |
December 2004
ADSP-TS101S
CONTROL ADDRESS ADDRESS
ADSP-TS101 #1 001 ID2-0 RESET CLKS/REFS LINK BR7-2,0 BR1 ADDR31-0 DATA63-0 CONTROL
CONTROL
000 RESET
ID2-0 RESET CLKS/REFS
BR7-1 BR0 ADDR31-0 DATA63-0 RD WRH/L ACK MS1-0 BUSLOCK BMS CPA DPA BOFF DMAR3-0 BRST HBR HBG MSH FLYBY IOEN
DATA
ADSP-TS101 #0
DATA
ADSP-TS101 #7 ADSP-TS101 #6 ADSP-TS101 #5 ADSP-TS101 #4 ADSP-TS101 #3 ADSP-TS101 #2
ADDR DATA OE WE ACK CS CS ADDR DATA GLOBAL MEMORY AND PERIPHERALS (OPTIONAL)
SCLK_P CLOCK REFERENCE VOLTAGE LCLK_P S/LCLK_N VREF LCLKRAT2-0 SCLKFREQ IRQ3-0 FLAG3-0 LINK
BOOT EPROM (OPTIONAL)
CLOCK HOST PROCESSOR INTERFACE (OPTIONAL)
ADDR DATA CS RAS CAS DQM WE CKE A10 ADDR DATA
LINK DEVICES (4 MAX) (OPTIONAL)
LXDAT7-0 LXCLKIN LXCLKOUT LXDIR
MSSD RAS CAS LDQM HDQM SDWE SDCKE SDA10 CONTROL
SDRAM MEMORY (OPTIONAL)
TMR0E BM CONTROLIMP2-0 DS2-0
CLK
Figure 4. Shared Memory Multiprocessing System
The DMA controller performs the following DMA operations: * External port block transfers. Four dedicated bidirectional DMA channels transfer blocks of data between the DSP's internal memory and any external memory or memorymapped peripheral on the external bus. These transfers support master mode and handshake mode protocols. * Link port transfers. Eight dedicated DMA channels (four transmit and four receive) transfer quad word data only between link ports and between a link port and internal or
external memory. These transfers only use handshake mode protocol. DMA priority rotates between the four receive channels. * AutoDMA transfers. Two dedicated unidirectional DMA channels transfer data received from an external bus master to internal memory or to link port I/O. These transfers only use slave mode protocol, and an external bus master must initiate the transfer.
LINK PORTS
The DSP's four link ports provide additional 8-bit bidirectional I/O capability. With the ability to operate at a double data rate-- latching data on both the rising and falling edges of the clock--
Rev. B
|
Page 8 of 44 |
December 2004
ADSP-TS101S
running at 125 MHz, each link port can support up to 250M bytes per second, for a combined maximum throughput of 1G bytes per second. The link ports provide an optional communications channel that is useful in multiprocessor systems for implementing point to point interprocessor communications. Applications can also use the link ports for booting. Each link port has its own double-buffered input and output registers. The DSP's core can write directly to a link port's transmit register and read from a receive register, or the DMA controller can perform DMA transfers through eight (four transmit and four receive) dedicated link port DMA channels. Each link port has three signals that control its operation. LxCLKOUT and LxCLKIN implement clock/acknowledge handshaking. LxDIR indicates the direction of transfer and is used only when buffering the LxDAT signals. An example application would be using differential low-swing buffers for long twisted-pair wires. LxDAT provides the 8-bit data bus input/output. Applications can program separate error detection mechanisms for transmit and receive operations (applications can use the checksum mechanism to implement consecutive link port transfers), the size of data packets, and the speed at which bytes are transmitted. Under certain conditions, the link port receiver can initiate a token switch to reverse the direction of transfer; the transmitter becomes the receiver and vice versa. After reset, the ADSP-TS101S has four boot options for beginning operation: * Boot from EPROM. The DSP defaults to EPROM booting when the BMS pin strap option is set low. See Strap Pin Function Descriptions on Page 19. * Boot by an external master (host or another ADSPTS101S). Any master on the cluster bus can boot the ADSP-TS101S through writes to its internal memory or through autoDMA. * Boot by link port. All four receive link DMA channels are initialized after reset to transfer a 256-word block to internal memory address 0 to 255, and to issue an interrupt at the end of the block (similar to EP DMA). The corresponding DMA interrupts are set to address zero (0). * No boot--Start running from an external memory. Using the "no boot" option, the ADSP-TS101S must start running from an external memory, caused by asserting one of the IRQ3-0 interrupt signals. The ADSP-TS101S core always exits from reset in the idle state and waits for an interrupt. Some of the interrupts in the interrupt vector table are initialized and enabled after reset.
LOW POWER OPERATION
The ADSP-TS101S can enter a low power sleep mode in which its core does not execute instructions, reducing power consumption to a minimum. The ADSP-TS101S exits sleep mode when it senses a falling edge on any of its IRQ3-0 interrupt inputs. The interrupt, if enabled, causes the ADSP-TS101S to execute the corresponding interrupt service routine. This feature is useful for systems that require a low power standby mode.
TIMER AND GENERAL-PURPOSE I/O
The ADSP-TS101S has a timer pin (TMR0E) that generates output when a programmed timer counter has expired. Also, the DSP has four programmable general-purpose I/O pins (FLAG3-0) that can function as either single bit input or output. As outputs, these pins can signal peripheral devices; as inputs, they can provide the test for conditional branching.
CLOCK DOMAINS
As shown in Figure 5, the ADSP-TS101S has two clock inputs, SCLK (system clock) and LCLK (local clock).
EXTERNAL INTERFACE CCLK (INSTRUCTION RATE) /LR DLL LxCLKOUT/LxCLKIN (LINK PORT RATE)
RESET AND BOOTING
The ADSP-TS101S has two levels of reset (see reset specifications on Page 24): * Power-up reset--after power-up of the system, and strap options are stable, the RESET pin must be asserted (low). * Normal reset--for any resets following the power-up reset sequence, the RESET pin must be asserted. The DSP can be reset internally (core reset) by setting the SWRST bit in SQCTL. The core is reset, but not the external port or I/O.
SCLK_P LCLK_P LCLKRATx SPD BITS, LCTLx REGISTER DLL DLL PLL DLL
Figure 5. Clock Domains
These inputs drive its two major clock domains: * SCLK (system clock). Provides clock input for the external bus interface and defines the ac specification reference for the external bus signals. The external bus interface runs at 1x the SCLK frequency. A DLL locks internal SCLK to SCLK input. * LCLK (local clock). Provides clock input to the internal clock driver, CCLK, which is the internal clock for the core, internal buses, memory, and link ports. The instruction
Rev. B
|
Page 9 of 44 |
December 2004
ADSP-TS101S
execution rate is equal to CCLK. A PLL from LCLK generates CCLK which is phase-locked. The LCLKRAT pins define the clock multiplication of LCLK to CCLK (see Table 4). The link port clock is generated from CCLK via a software programmable divisor. RESET must be asserted until LCLK is stable and within specification for at least 2 ms. This applies to power-up as well as any dynamic modification of LCLK after power-up. Dynamic modification may include LCLK going out of specification as long as RESET is asserted. Connecting SCLK and LCLK to the same clock source is a requirement for the device. Using an integer clock multiplication value provides predictable cycle-by-cycle operation, a requirement of fault-tolerant systems and some multiprocessing systems. Noninteger values are completely functional and acceptable for applications that do not require predictable cycle-by-cycle operation. The required power-on sequence for the DSP is to provide VDD (and VDD_A) before VDD_IO.
FILTERING REFERENCE VOLTAGE AND CLOCKS
Figure 6 shows a possible circuit for filtering VREF, SCLK_N, and LCLK_N. This circuit provides the reference voltage for the switching voltage, system clock, and local clock references.
VDD_IO
VREF SCLK_N R1 LCLK_N R2 C1 C2
VSS R1: 2k SERIES RESISTOR R2: 1.67k SERIES RESISTOR C1: 1 F CAPACITOR (SMD) C2: 1nF CAPACITOR (HF SMD) PLACED CLOSE TO DSP'S PINS
OUTPUT PIN DRIVE STRENGTH CONTROL
Pins CONTROLIMP2-0 and DS2-0 work together to control the output drive strength of two groups of pins, the Address/Data/Control pin group and the Link pin group. CONTROLIMP2-0 independently configures the two pin groups to the maximum drive strength or to a digitally controlled drive strength that is selectable by the DS2-0 pins (see Table 13 on Page 18). If the digitally controlled drive strength is selected for a pin group, the DS2-0 pins determine one of eight strength levels for that group (see Table 14 on Page 18). The drive strength selected varies the slew rate of the driver. Drive strength 0 (DS2-0 = 000) is the weakest and slowest slew rate. Drive strength 7 (DS2-0 = 111) is the strongest and fastest slew rate. The stronger drive strengths are useful for high frequency switching while the lower strengths may allow use of a relaxed design methodology. The strongest drive strengths have a larger di/dt and thus require more attention to signal integrity issues such a ringing, reflections and coupling. Also a larger di/dt can increase external supply rail noise, which impacts power supply and power distribution design. The drive strengths for the EMU, CPA, and DPA pins are not controllable and are fixed to the maximum level. For drive strength calculation, see Output Drive Currents on Page 32.
Figure 6. VREF, SCLK_N, and LCLK_N Filter
DEVELOPMENT TOOLS
The ADSP-TS101S is supported with a complete set of CROSSCORE(R) software and hardware development tools, including Analog Devices emulators and VisualDSP++(R) development environment. The same emulator hardware that supports other TigerSHARC processors also fully emulates the ADSP-TS101S. The VisualDSP++ project management environment lets programmers develop and debug an application. This environment includes an easy to use assembler (which is based on an algebraic syntax), an archiver (librarian/library builder), a linker, a loader, a cycle-accurate instruction-level simulator, a C/C++ compiler, and a C/C++ run-time library that includes DSP and mathematical functions. A key point for these tools is C/C++ code efficiency. The compiler has been developed for efficient translation of C/C++ code to DSP assembly. The DSP has architectural features that improve the efficiency of compiled C/C++ code. The VisualDSP++ debugger has a number of important features. Data visualization is enhanced by a plotting package that offers a significant level of flexibility. This graphical representation of user data enables the programmer to quickly determine the performance of an algorithm. As algorithms grow in complexity, this capability can have increasing significance on the designer's development schedule, increasing productivity. Statistical profiling enables the programmer to nonintrusively poll the processor as it is running the program. This feature, unique to VisualDSP++, enables the software developer to passively gather important code execution metrics without interrupting the real-time characteristics of the program. Essentially, the developer can identify bottlenecks in software quickly and
POWER SUPPLIES
The ADSP-TS101S has separate power supply connections for internal logic (VDD), analog circuits (VDD_A), and I/O buffer (VDD_IO) power supply. The internal (VDD) and analog (VDD_A) supplies must meet the 1.2 V requirement. The I/O buffer (VDD_IO) supply must meet the 3.3 V requirement. The analog supply (VDD_A) powers the clock generator PLLs. To produce a stable clock, systems must provide a clean power supply to power input VDD_A. Designs must pay critical attention to bypassing the VDD_A supply.

CROSSCORE is a registered trademark of Analog Devices, Inc. VisualDSP++ is a registered trademark of Analog Devices, Inc.
Rev. B
|
Page 10 of 44 |
December 2004
ADSP-TS101S
efficiently. By using the profiler, the programmer can focus on those areas in the program that impact performance and take corrective action. Debugging both C/C++ and assembly programs with the VisualDSP++ debugger, programmers can: * View mixed C/C++ and assembly code (interleaved source and object information) * Insert breakpoints * Set conditional breakpoints on registers, memory, and stacks * Trace instruction execution * Perform linear or statistical profiling of program execution * Fill, dump, and graphically plot the contents of memory * Perform source level debugging * Create custom debugger windows The VisualDSP++ IDDE lets programmers define and manage DSP software development. Its dialog boxes and property pages let programmers configure and manage all of the TigerSHARC development tools, including the color syntax highlighting in the VisualDSP++ editor. This capability permits programmers to: * Control how the development tools process inputs and generate outputs * Maintain a one-to-one correspondence with the tool's command line switches The VisualDSP++ Kernel (VDK) incorporates scheduling and resource management tailored specifically to address the memory and timing constraints of DSP programming. These capabilities enable engineers to develop code more effectively, eliminating the need to start from the very beginning, when developing new application code. The VDK features include threads, critical and unscheduled regions, semaphores, events, and device flags. The VDK also supports priority-based, preemptive, cooperative, and time-sliced scheduling approaches. In addition, the VDK was designed to be scalable. If the application does not use a specific feature, the support code for that feature is excluded from the target system. Because the VDK is a library, a developer can decide whether to use it or not. The VDK is integrated into the VisualDSP++ development environment, but can also be used via standard command line tools. When the VDK is used, the development environment assists the developer with many error-prone tasks and assists in managing system resources, automating the generation of various VDK-based objects, and visualizing the system state, when debugging an application that uses the VDK. VCSE is Analog Devices technology for creating, using, and reusing software components (independent modules of substantial functionality) to quickly and reliably assemble software applications. It is also used for downloading components from the Web, dropping them into the application, and publishing component archives from within VisualDSP++. VCSE supports component implementation in C/C++ or assembly language. Use the expert linker to visually manipulate the placement of code and data on the embedded system. View memory utilization in a color-coded graphical form, easily move code and data to different areas of the DSP or external memory with a drag of the mouse, examine run-time stack and heap usage. The expert linker is fully compatible with existing linker definition file (LDF), allowing the developer to move between the graphical and textual environments. Analog Devices DSP emulators use the IEEE 1149.1 JTAG Test Access Port of the ADSP-TS101S processor to monitor and control the target board processor during emulation. The emulator provides full speed emulation, allowing inspection and modification of memory, registers, and processor stacks. Nonintrusive in-circuit emulation is assured by the use of the processor's JTAG interface--the emulator does not affect target system loading or timing. In addition to the software and hardware development tools available from Analog Devices, third parties provide a wide range of tools supporting the TigerSHARC processor family. Hardware tools include TigerSHARC processor PC plug-in cards. Third party software tools include DSP libraries, realtime operating systems, and block diagram design tools.
DESIGNING AN EMULATORCOMPATIBLE DSP BOARD (TARGET)
The Analog Devices family of emulators are tools that every DSP developer needs to test and debug hardware and software systems. Analog Devices has supplied an IEEE 1149.1 JTAG test access port (TAP) on each JTAG DSP. The emulator uses the TAP to access the internal features of the DSP, allowing the developer to load code, set breakpoints, observe variables, observe memory, and examine registers. The DSP must be halted to send data and commands, but once an operation has been completed by the emulator, the DSP system is set running at full speed with no impact on system timing. To use these emulators, the target board must include a header that connects the DSP's JTAG port to the emulator. For details on target board design issues including mechanical layout, single processor connections, multiprocessor scan chains, signal buffering, signal termination, and emulator pod logic, see the EE-68: Analog Devices JTAG Emulation Technical Reference on the Analog Devices website (www.analog.com)-- use site search on "EE-68." This document is updated regularly to keep pace with improvements to emulator support.
ADDITIONAL INFORMATION
This data sheet provides a general overview of the ADSPTS101S processor's architecture and functionality. For detailed information on the ADSP-TS101S processor's core architecture and instruction set, see the ADSP-TS101 TigerSHARC Processor Programming Reference and the ADSP-TS101 TigerSHARC Processor Hardware Reference. For detailed information on the development tools for this processor, see the VisualDSP++ User's Guide for TigerSHARC Processors.
Rev. B
|
Page 11 of 44 |
December 2004
ADSP-TS101S
PIN FUNCTION DESCRIPTIONS
While most of the ADSP-TS101S processor's input pins are normally synchronous--tied to a specific clock--a few are asynchronous. For these asynchronous signals, an on-chip synchronization circuit prevents metastability problems. The synchronous ac specification for asynchronous signals is used only when predictable cycle-by-cycle behavior is required. All inputs are sampled by a clock reference, therefore input specifications (asynchronous minimum pulse widths or synchronous input setup and hold) must be met to guarantee recognition.
PIN STATES AT RESET
The output pins can be three-stated during normal operation. The DSP three-states all outputs during reset, allowing these pins to get to their internal pull-up or pull-down state. Some output pins (control signals) have a pull-up or pull-down that maintains a known value during transitions between different drivers.
PIN DEFINITIONS
The Type column in the following pin definitions tables describes the pin type, when the pin is used in the system. The Term (for termination) column describes the pin termination type if the pin is not used by the system. Note that some pins are always used (indicated with au symbol).
Table 3. Pin Definitions--Clocks and Reset
Description Local Clock Reference. Connect this pin to VREF as shown in Figure 6. Local Clock Input. DSP clock input. The instruction cycle rate = n x LCLK, where n is userprogrammable to 2, 2.5, 3, 3.5, 4, 5, or 6. For more information, see Clock Domains on Page 9. LCLKRAT2-01 I (pd2) au LCLK Ratio. The DSP's core clock (instruction cycle rate) = n x LCLK, where n is user-programmable to 2, 2.5, 3, 3.5, 4, 5, or 6 as shown in Table 4. These pins must have a constant value while the DSP is powered. SCLK_N I au System Clock Reference. Connect this pin to VREF as shown in Figure 6. SCLK_P I au System Clock Input. The DSP's system input clock for cluster bus. This pin must be connected to the same clock source as LCLK_P. For more information, see Clock Domains on Page 9. SCLKFREQ3 I (pu2) au SCLK Frequency. SCLKFREQ = 1 is required. The SCLKFREQ pin must have a constant value while the DSP is powered. RESET I/A au Reset. Sets the DSP to a known state and causes program to be in idle state. RESET must be asserted at specified time according to the type of reset operation. For details, see Reset and Booting on Page 9. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal LCLK_N LCLK_P
Type I I
Term au au
The internal pull-down may not be sufficient. A stronger pull-down may be necessary. See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances. 3 The internal pull-up may not be sufficient. A stronger pull-up may be necessary.
Table 4. LCLK Ratio
LCLKRAT2-0 000 (default) 001 010 011 100 101 110 111 Ratio 2 2.5 3 3.5 4 5 6 Reserved
Rev. B
|
Page 12 of 44 |
December 2004
ADSP-TS101S
Table 5. Pin Definitions--External Port Bus Controls
Description Address Bus. The DSP issues addresses for accessing memory and peripherals on these pins. In a multiprocessor system, the bus master drives addresses for accessing internal memory or I/O processor registers of other ADSP-TS101S processors. The DSP inputs addresses when a host or another DSP accesses its internal memory or I/O processor registers. DATA63-01 I/O/T nc External Data Bus. Data and instructions are received, and driven by the DSP, on these pins. RD2 I/O/T (pu3) nc Memory Read. RD is asserted whenever the DSP reads from any slave in the system, excluding SDRAM. When the DSP is a slave, RD is an input and indicates read transactions that access its internal memory or universal registers. In a multiprocessor system, the bus master drives RD. The RD pin changes concurrently with ADDR pins. I/O/T (pu3) nc Write Low. WRL is asserted in two cases: When the ADSP-TS101S writes to an even address word WRL2 of external memory or to another external bus agent; and when the ADSP-TS101S writes to a 32-bit zone (host, memory, or DSP programmed to 32-bit bus). An external master (host or DSP) asserts WRL for writing to a DSP's low word of internal memory. In a multiprocessor system, the bus master drives WRL. The WRL pin changes concurrently with ADDR pins. When the DSP is a slave, WRL is an input and indicates write transactions that access its internal memory or universal registers. 2 3 WRH I/O/T (pu ) nc Write High. WRH is asserted when the ADSP-TS101S writes a long word (64 bits) or writes to an odd address word of external memory or to another external bus agent on a 64-bit data bus. An external master (host or another DSP) must assert WRH for writing to a DSP's high word of 64-bit data bus. In a multiprocessing system, the bus master drives WRH. The WRH pin changes concurrently with ADDR pins. When the DSP is a slave, WRH is an input and indicates write transactions that access its internal memory or universal registers. ACK I/O/T epu Acknowledge. External slave devices can deassert ACK to add wait states to external memory accesses. ACK is used by I/O devices, memory controllers, and other peripherals on the data phase. The DSP can deassert ACK to add wait states to read accesses of its internal memory. The ADSP-TS101S does not drive ACK during slave writes. Therefore, an external (approximately 10 k) pull-up is required. BMS2, 4 O/T au Boot Memory Select. BMS is the chip select for boot EPROM or flash memory. During reset, the (pu/pd3) DSP uses BMS as a strap pin (EBOOT) for EPROM boot mode. When the DSP is configured to boot from EPROM, BMS is active during the boot sequence. Pull-down enabled during RESET (asserted); pull-up enabled after RESET (deasserted). In a multiprocessor system, the DSP bus master drives BMS. For details see Reset and Booting on Page 9 and the EBOOT signal description in Table 16 on Page 19. O/T (pu3) nc Memory Select. MS0 or MS1 is asserted whenever the DSP accesses memory banks 0 or 1, MS1-02 respectively. MS1-0 are decoded memory address pins that change concurrently with ADDR pins. When ADDR31:26 = 0b000010, MS0 is asserted. When ADDR31:26 = 0b000011, MS1 is asserted. In multiprocessor systems, the master DSP drives MS1-0. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used. Signal ADDR31-01 Type I/O/T Term nc
Rev. B
|
Page 13 of 44 |
December 2004
ADSP-TS101S
Table 5. Pin Definitions--External Port Bus Controls (Continued)
Description Memory Select Host. MSH is asserted whenever the DSP accesses the host address space (ADDR31:28 0b0000). MSH is a decoded memory address pin that changes concurrently with ADDR pins. In a multiprocessor system, the bus master DSP drives MSH. BRST2 I/O/T (pu3) nc Burst. The current bus master (DSP or host) asserts this pin to indicate that it is reading or writing data associated with consecutive addresses. A slave device can ignore addresses after the first one and increment an internal address counter after each transfer. For host-to-DSP burst accesses, the DSP increments the address automatically while BRST is asserted. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1
Signal MSH2
Type O/T (pu3)
Term nc
The address and data buses may float for several cycles during bus mastership transitions between a TigerSHARC processor and a host. Floating in this case means that these inputs are not driven by any source and that dc-biased terminations are not present. It is not necessary to add pull-ups as there are no reliability issues and the worst-case power consumption for these floating inputs is negligible. Unconnected address pins may require pull-ups or pull-downs to avoid erroneous slave accesses, depending on the system. Unconnected data pins may be left floating. 2 The internal pull-up may not be sufficient. A stronger pull-up may be necessary. 3 See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances. 4 The internal pull-down may not be sufficient. A stronger pull-down may be necessary.
Table 6. Pin Definitions--External Port Arbitration
Description Multiprocessing Bus Request Pins. Used by the DSPs in a multiprocessor system to arbitrate for bus mastership. Each DSP drives its own BRx line (corresponding to the value of its ID2-0 inputs) and monitors all others. In systems with fewer than eight DSPs, set the unused BRx pins high. ID2-01 I (pd2) au Multiprocessor ID. Indicates the DSP's ID. From the ID, the DSP determines its order in a multiprocessor system. These pins also indicate to the DSP which bus request (BR0-BR7) to assert when requesting the bus: 000 = BR0, 001 = BR1, 010 = BR2, 011 = BR3, 100 = BR4, 101 = BR5, 110 = BR6, or 111 = BR7. ID2-0 must have a constant value during system operation and can change during reset only. 1 2 BM O (pd ) au Bus Master. The current bus master DSP asserts BM. For debugging only. At reset this is a strap pin. For more information, see Table 16 on Page 19. BOFF I epu Back Off. A deadlock situation can occur when the host and a DSP try to read from each other's bus at the same time. When deadlock occurs, the host can assert BOFF to force the DSP to relinquish the bus before completing its outstanding transaction, but only if the outstanding transaction is to host memory space (MSH). BUSLOCK3 O/T (pu2) nc Bus Lock Indication. Provides an indication that the current bus master has locked the bus. HBR I epu Host Bus Request. A host must assert HBR to request control of the DSP's external bus. When HBR is asserted in a multiprocessing system, the bus master relinquishes the bus and asserts HBG once the outstanding transaction is finished. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used. Signal BR7-0 Type I/O Term epu
Rev. B
|
Page 14 of 44 |
December 2004
ADSP-TS101S
Table 6. Pin Definitions--External Port Arbitration (Continued)
Description Host Bus Grant. Acknowledges HBR and indicates that the host can take control of the external bus. When relinquishing the bus, the master DSP three-states the ADDR31-0, DATA63-0, MSH, MSSD, MS1-0, RD, WRL, WRH, BMS, BRST, FLYBY, IOEN, RAS, CAS, SDWE, SDA10, SDCKE, LDQM and HDQM pins, and the DSP puts the SDRAM in self-refresh mode. The DSP asserts HBG until the host deasserts HBR. In multiprocessor systems, the current bus master DSP drives HBG, and all slave DSPs monitor HBG. I/O (o/d) See Core Priority Access. Asserted while the DSP's core accesses external memory. This pin enables CPA next a slave DSP to interrupt a master DSP's background DMA transfers and gain control of the column external bus for core-initiated transactions. CPA is an open drain output, connected to all DSPs in the system. The CPA pin has an internal 500 pull-up resistor, which is only enabled on the DSP with ID2-0 = 0. If ID0 is not used, terminate this pin as either epu or nc. If ID7-1 is not used, terminate this pin as epu. DPA I/O (o/d) See DMA Priority Access. Asserted while a high priority DSP DMA channel accesses external next memory. This pin enables a high priority DMA channel on a slave DSP to interrupt transfers of column a normal priority DMA channel on a master DSP and gain control of the external bus for DMAinitiated transactions. DPA is an open drain output, connected to all DSPs in the system. The DPA pin has an internal 500 pull-up resistor, which is only enabled on the DSP with ID2-0 = 0. If ID0 is not used, terminate this pin as either epu or nc. If ID7-1 is not used, terminate this pin as epu. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal HBG3
Type I/O/T (pu2)
Term nc
The internal pull-down may not be sufficient. A stronger pull-down may be necessary. See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances. 3 The internal pull-up may not be sufficient. A stronger pull-up may be necessary.
Table 7. Pin Definitions--External Port DMA/Flyby
Description DMA Request Pins. Enable external I/O devices to request DMA services from the DSP. In response to DMARx, the DSP performs DMA transfers according to the DMA channel's initialization. The DSP ignores DMA requests from uninitialized channels. FLYBY1 O/T (pu2) nc Flyby Mode. When a DSP DMA channel is initiated in FLYBY mode, it generates flyby transactions on the external bus. During flyby transactions, the DSP asserts FLYBY, which signals the source or destination I/O device to latch the next data or strobe the current data, respectively, and to prepare for the next data on the next cycle. 1 2 IOEN O/T (pu ) nc I/O Device Output Enable. Enables the output buffers of an external I/O device for flyby transactions between the device and external memory. Active on flyby transactions. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal DMAR3-0
Type I/A
Term epu
The internal pull-up may not be sufficient. A stronger pull-up may be necessary. See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances.
Rev. B
|
Page 15 of 44 |
December 2004
ADSP-TS101S
Table 8. Pin Definitions--External Port SDRAM Controller
Description Memory Select SDRAM. MSSD is asserted whenever the DSP accesses SDRAM memory space. MSSD is a decoded memory address pin that is asserted whenever the DSP issues an SDRAM command cycle (access to ADDR31:26 = 0b000001). MSSD in a multiprocessor system is driven by the master DSP. RAS1 I/O/T (pu2) nc Row Address Select. When sampled low, RAS indicates that a row address is valid in a read or write of SDRAM. In other SDRAM accesses, RAS defines the type of operation to execute according to SDRAM specification. CAS1 I/O/T (pu2) nc Column Address Select. When sampled low, CAS indicates that a column address is valid in a read or write of SDRAM. In other SDRAM accesses, CAS defines the type of operation to execute according to the SDRAM specification. 1 2 LDQM O/T (pu ) nc Low Word SDRAM Data Mask. When LDQM is sampled high, the DSP three-states the SDRAM DQ buffers. LDQM is valid on SDRAM transactions when CAS is asserted and is inactive on read transactions. On write transactions, LDQM is active when accessing an odd address word on a 64-bit memory bus to disable the write of the low word. HDQM1 O/T (pu2) nc High Word SDRAM Data Mask. When HDQM is sampled high, the DSP three-states the SDRAM DQ buffers. HDQM is valid on SDRAM transactions when CAS is asserted and is inactive on read transactions. On write transactions, HDQM is active when accessing an even address in word accesses or is active when memory is configured for a 32-bit bus to disable the write of the high word. 1 2 SDA10 O/T (pu ) nc SDRAM Address bit 10 pin. Separate A10 signals enable SDRAM refresh operation while the DSP executes non-SDRAM transactions. 1, 3 SDCKE I/O/T nc SDRAM Clock Enable. Activates the SDRAM clock for SDRAM self-refresh or suspend modes. A (pu/pd2) slave DSP in a multiprocessor system does not have the pull-up or pull-down. A master DSP (or ID = 0 in a single processor system) has a 100 k pull-up before granting the bus to the host, except when the SDRAM is put in self-refresh mode. In self-refresh mode, the master has a 100 k pull-down before granting the bus to the host. SDWE1 I/O/T (pu2) nc SDRAM Write Enable. When sampled low while CAS is active, SDWE indicates an SDRAM write access. When sampled high while CAS is active, SDWE indicates an SDRAM read access. In other SDRAM accesses, SDWE defines the type of operation to execute according to SDRAM specification. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal MSSD1
Type I/O/T (pu2)
Term nc
The internal pull-up may not be sufficient. A stronger pull-up may be necessary. See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances. 3 The internal pull-down may not be sufficient. A stronger pull-down may be necessary.
Rev. B
|
Page 16 of 44 |
December 2004
ADSP-TS101S
Table 9. Pin Definitions--JTAG Port
Signal EMU TCK TDI2 TDO TMS2 TRST2 Type O (o/d) I I (pu3) O/T I (pu3) I/A (pu3) Term nc1 epd or epu1 nc1 nc1 nc1 au Description Emulation. Connected only to the DSP's JTAG emulator target board connector. Test Clock (JTAG). Provides an asynchronous clock for JTAG scan.
Test Data Input (JTAG). A serial data input of the scan path. Test Data Output (JTAG). A serial data output of the scan path. Test Mode Select (JTAG). Used to control the test state machine. Test Reset (JTAG). Resets the test state machine. TRST must be asserted or pulsed low after power-up for proper device operation. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
See the reference on Page 11 to the JTAG emulation technical reference EE-68. The internal pull-up may not be sufficient. A stronger pull-up may be necessary. 3 See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances.
Table 10. Pin Definitions--Flags, Interrupts, and Timer
Description FLAG pins. Bidirectional input/output pins can be used as program conditions. Each pin can be configured individually for input or for output. FLAG3-0 are inputs after power-up and reset. IRQ3-03 I/A (pu2) nc Interrupt Request. When asserted, the DSP generates an interrupt. Each of the IRQ3-0 pins can be independently set for edge triggered or level sensitive operation. After reset, these pins are disabled unless the IRQ3-0 strap option is initialized for booting. 1 2 TMR0E O (pd ) au Timer 0 expires. This output pulses for four SCLK cycles whenever timer 0 expires. At reset this is a strap pin. For additional information, see Table 16 on Page 19. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal FLAG3-01
Type I/O/A (pd2)
Term nc
The internal pull-down may not be sufficient. A stronger pull-down may be necessary. See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances. 3 The internal pull-up may not be sufficient. A stronger pull-up may be necessary.
Table 11. Pin Definitions--Link Ports
Signal Type Term Description 1 L0DAT7-0 I/O nc Link0 Data 7-0 I/O nc Link1 Data 7-0 L1DAT7-01 L2DAT7-01 I/O nc Link2 Data 7-0 1 L3DAT7-0 I/O nc Link3 Data 7-0 L0CLKOUT O nc Link0 Clock/Acknowledge Output L1CLKOUT O nc Link1 Clock/Acknowledge Output L2CLKOUT O nc Link2 Clock/Acknowledge Output L3CLKOUT O nc Link3 Clock/Acknowledge Output L0CLKIN I/A epu Link0 Clock/Acknowledge Input L1CLKIN I/A epu Link1 Clock/Acknowledge Input Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
Rev. B
|
Page 17 of 44 |
December 2004
ADSP-TS101S
Table 11. Pin Definitions--Link Ports (Continued)
Description Link2 Clock/Acknowledge Input Link3 Clock/Acknowledge Input Link0 Direction. (0 = input, 1 = output) Link1 Direction. (0 = input, 1 = output) Link2 Direction. (0 = input, 1 = output) At reset this is a strap pin. For more information, see Table 16 on Page 19. nc Link3 Direction. (0 = input, 1 = output) L3DIR O (pd3) Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1
Signal L2CLKIN L3CLKIN L0DIR L1DIR L2DIR2
Type I/A I/A O O O (pd3)
Term epu epu nc nc au
The link port data pins, if connected or floated for extended periods (for example, token slave with no token master), do not require pull-ups or pull-downs as there are no reliability issues and the worst-case power consumption for these floating inputs is negligible. Floating in this case means that these inputs are not driven by any source and that dc-biased terminations are not present. 2 The internal pull-down may not be sufficient. A stronger pull-down may be necessary. 3 See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances.
Table 12. Pin Definitions--Impedance and Drive Strength Control
Description Impedance Control. For ADC (Address/Data/Controls) and LINK (all link port outputs) signals, the CONTROLIMP2-0 pins control impedance as shown in Table 13. These pins enable or disable dig_ctrl mode. When dig_ctrl: 0 = Disabled (maximum drive strength) 1 = Enabled (use DS2-0 drive strength selection) 1 3 DS2-0 I (pu ) au Digital Drive Strength Selection. Selected as shown in Table 14. For drive strength calculation, see Output Drive Currents on Page 32. The drive strength for some pins is preset, not controlled by the DS2-0 pins. The pins that are always at drive strength 7 (100%) are: CPA, DPA, and EMU. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used.
1 2
Signal CONTROLIMP2-11 CONTROLIMP02
Type I (pu3) I (pd3)
Term au au
The internal pull-up may not be sufficient. A stronger pull-up may be necessary. The internal pull-down may not be sufficient. A stronger pull-down may be necessary. 3 See Electrical Characteristics on Page 20 for maximum and minimum current consumption for pull-up and pull-down resistances.
Table 13. Control Impedance Selection
CONTROLIMP2-0 000 001 010 011 100 101 110 (default) 111 ADC dig_ctrl 0 0 0 reserved 1 reserved 1 reserved LINK dig_ctrl 0 0 1 reserved 0 reserved 1 reserved
Table 14. Drive Strength Selection
DS2-0 000 001 010 011 100 101 110 111 (default) Drive Strength Strength 0 Strength 1 Strength 2 Strength 3 Strength 4 Strength 5 Strength 6 Strength 7
Rev. B
|
Page 18 of 44 |
December 2004
ADSP-TS101S
Table 15. Pin Definitions--Power, Ground, and Reference
Description VDD pins for internal logic. VDD pins for analog circuits. Pay critical attention to bypassing this supply. VDD pins for I/O buffers. Reference voltage defines the trip point for all input buffers, except RESET, IRQ3-0, DMAR3-0, ID2-0, CONTROLIMP2-0, TCK, TDI, TMS, and TRST. The value is 1.5 V 100 mV (which is the TTL trip point). VREF can be connected to a power supply or set by a voltage divider circuit. The voltage divider should have an HF decoupling capacitor (1 nF HF SMD) connected to VSS. Tie the decoupling capacitor between VREF input and VSS, as close to the DSP's pins as possible. For more information, see Filtering Reference Voltage and Clocks on Page 10. VSS G au Ground pins. VSS_A G au Ground pins for analog circuits. NC -- No connect. Do not connect these pins to anything (not to any supply, signal, or each other), because they are reserved and must be left unconnected. Type column symbols: A = asynchronous; G = ground; I = input; O = output; o/d = open drain output; P = power supply; pd = internal pull-down approximately 100 k; pu = internal pull-up approximately 100 k; T = three-state Term (for termination) column symbols: epd = external pull-down approximately 10 k to VSS; epu = external pull-up approximately 10 k to VDD-IO, nc = not connected; au = always used. Signal VDD VDD_A VDD_IO VREF Type P P P I Term au au au au
STRAP PIN FUNCTION DESCRIPTIONS
Some pins have alternate functions at reset. Strap options set DSP operating modes. During reset, the DSP samples the strap option pins. Strap pins have an approximately 100 k pulldown for the default value. If a strap pin is not connected to an external pull-up or logic load, the DSP samples the default value during reset. If strap pins are connected to logic inputs, a stronger external pull-down may be required to ensure default value Table 16. Pin Definitions--I/O Strap Pins
Signal EBOOT On Pin ... BMS Description EPROM boot. 0 = boot from EPROM immediately after reset (default) 1 = idle after reset and wait for an external device to boot DSP through the external port or a link port Interrupt Enable. 0 = disable and set IRQ3-0 interrupts to level sensitive after reset (default) 1 = enable and set IRQ3-0 interrupts to edge sensitive immediately after reset Test Mode 1. 0 = required setting during reset. 1 = reserved. Test Mode 2. 0 = required setting during reset. 1 = reserved.
depending on leakage and/or low level input current of the logic load. To set a mode other than the default mode, connect the strap pin to a sufficiently stronger external pull-up. In a multiprocessor system, up to eight DSPs may be connected on the cluster bus, resulting in parallel combination of strap pin pulldown resistors. Table 16 lists and describes each of the DSP's strap pins.
IRQEN
BM
TM1
L2DIR
TM2
TMR0E
Rev. B
|
Page 19 of 44 |
December 2004
ADSP-TS101S
SPECIFICATIONS
Note that component specifications are subject to change without notice.
RECOMMENDED OPERATING CONDITIONS
Parameter VDD Internal Supply Voltage VDD_A Analog Supply Voltage VDD_IO I/O Supply Voltage TCASE Case Operating Temperature VIH High Level Input Voltage1 Low Level Input Voltage1 VIL IDD VDD Supply Current for Typical Activity2 IDD IDDIDLELP IDD_IO IDD_A VREF
1 2
Test Conditions
@ VDD, VDD_IO = max @ VDD, VDD_IO = min @ CCLK = 250 MHz, VDD = 1.25 V, TCASE = 25C VDD Supply Current for Typical Activity2 @ CCLK = 300 MHz, VDD = 1.25 V, TCASE = 25C VDD Supply Current for IDLELP @ CCLK = 300 MHz, VDD = 1.20 V, Instruction Execution TCASE = 25C VDD_IO Supply Current for Typical @ SCLK = 100 MHz, VDD_IO = 3.3 V, Activity2 TCASE = 25C VDD_A Supply Current @ VDD = 1.25 V, TCASE = 25C Voltage Reference
Min 1.14 1.14 3.15 -40 2 -0.5
Typ
Max 1.26 1.26 3.45 +85 VDD_IO + 0.5 +0.8
1.2 1.5 173 137 25 1.4 1.6
Unit V V V C V V A A mA mA mA V
Applies to input and bidirectional pins. For details on internal and external power estimation, including: power vector definitions, current usage descriptions, and formulas, see EE-169, Estimating Power for the ADSP-TS101S on the Analog Devices website--use site search on "EE-169" (www.analog.com). This document is updated regularly to keep pace with silicon revisions.
ELECTRICAL CHARACTERISTICS
Parameter VOH High Level Output Voltage1 Low Level Output Voltage1 VOL IIH High Level Input Current2 IIHP High Level Input Current (pd)2 IIL Low Level Input Current3 IILP Low Level Input Current (pu)4 IOZH Three-State Leakage Current High5, 6 Three-State Leakage Current High (pd)7 IOZHP IOZL Three-State Leakage Current Low8 IOZLP Three-State Leakage Current Low (pu)9 IOZLO Three-State Leakage Current Low (od)7 CIN Input Capacitance10, 11
1 2
Test Conditions @VDD_IO = min, IOH = -2 mA @VDD_IO = min, IOL = 4 mA @VDD_IO = max, VIN = VDD_IO max @VDD_IO = max, VIN = VDD_IO max @VDD_IO = max, VIN = 0 V @VDD_IO = max, VIN = 0 V @VDD_IO = max, VIN = VDD_IO max @VDD_IO = max, VIN = VDD_IO max @VDD_IO = max, VIN = 0 V @VDD_IO = max, VIN = 0 V @VDD_IO = max, VIN = 0 V @fIN = 1 MHz, TCASE = 25C, VIN = 2.5 V
Min 2.4
Max 0.4 10 44.5 10 -23 10 44.5 10 -23 -4.6 5
17.2 -69 17.2 -69 -9.8
Unit V V A A A A A A A A mA pF
Applies to output and bidirectional pins. Applies to input pins with internal pull-downs (pd). 3 Applies to input pins without internal pull-ups (pu). 4 Applies to input pins with internal pull-ups (pu). 5 Applies to three-stateable pins without internal pull-downs (pd). 6 Applies to open drain (od) pins with 500 pull-ups (pu). 7 Applies to three-stateable pins with internal pull-downs (pd). 8 Applies to three-stateable pins without internal pull-ups (pu). 9 Applies to three-stateable pins with internal pull-ups (pu). 10 Applies to all signals. 11 Guaranteed but not tested.
Rev. B
|
Page 20 of 44 |
December 2004
ADSP-TS101S
ABSOLUTE MAXIMUM RATINGS
Internal (Core) Supply Voltage (VDD)1 Analog (PLL) Supply Voltage (VDD_A)1 External (I/O) Supply Voltage (VDD_IO)1 Input Voltage1 Output Voltage Swing1 Storage Temperature Range1
1
-0.3 V to +1.40 V -0.3 V to +1.40 V -0.3 V to +4.6 V -0.5 V to VDD_IO +0.5 V -0.5 V to VDD_IO +0.5 V -65C to +150C
Stresses greater than those listed above may cause permanent damage to the device. These are stress ratings only; functional operation of the device at these or any other conditions greater than those indicated in the operational sections of this specification is not implied. Exposure to absolute maximum rating conditions for extended periods may affect device reliability.
ESD SENSITIVITY
CAUTION ESD (electrostatic discharge) sensitive device. Electrostatic charges as high as 4000 V readily accumulate on the human body and test equipment and can discharge without detection. Although the ADSP-TS101S features proprietary ESD protection circuitry, permanent damage may occur on devices subjected to high-energy electrostatic discharges. Therefore, proper ESD precautions are recommended to avoid performance degradation or loss of functionality.
TIMING SPECIFICATIONS
With the exception of link port, IRQ3-0, DMAR3-0, TMR0E, FLAG3-0 (input), and TRST pins, all ac timing for the ADSPTS101S is relative to a reference clock edge. Because input setup/hold, output valid/hold, and output enable/disable times are relative to a clock edge, the timing data for the ADSPTS101S has few calculated (formula-based) values. For information on ac timing, see General AC Timing. For information on link port transfer timing, see Link Ports Data Transfer and Token Switch Timing on Page 29.
General AC Timing
Timing is measured on signals when they cross the 1.5 V level as described in Figure 15 on Page 28. All delays (in nanoseconds) are measured between the point that the first signal reaches 1.5 V and the point that the second signal reaches 1.5 V. The ac asynchronous timing data for the IRQ3-0, DMAR3-0, TMR0E, FLAG3-0 (input), and TRST pins appears in Table 17. The general ac timing data appears in Table 17, Table 25, and Table 26. All ac specifications are measured with the load specified in Figure 7, and with the output drive strength set to strength 4. Output valid and hold are based on standard capacitive loads: 30 pF on all pins. The delay and hold specifications given should be derated by a drive strength related factor for loads other than the nominal value of 30 pF. In order to calculate the output valid and hold times for different load conditions and/or output drive strengths, refer to Figure 31 on Page 34 through Figure 38 on Page 36 (Rise and Fall Time vs. Load Capacitance) and Figure 39 on Page 36 (Output Valid vs. Load Capacitance and Drive Strength).
TO OUTPUT PIN 50 1.5V 30pF
Figure 7. Equivalent Device Loading for AC Measurements (Includes All Fixtures)
For power-up sequencing, power-up reset, and normal reset (hot reset) timing requirements, refer respectively to Table 22 and Figure 12, Table 23 and Figure 13, and Table 24 and Figure 14.
Rev. B
|
Page 21 of 44 |
December 2004
ADSP-TS101S
Table 17. AC Asynchronous Signal Specifications (All values in this table are in nanoseconds.)
Name IRQ3-01 DMAR3-01 TMR0E2 FLAG3-01, 3 TRST
1 2
Description Interrupt request input DMA request input Timer 0 expired output Flag pins input JTAG test reset input
Pulse Width Low (min) tCCLK + 3 ns tCCLK + 4 ns 3 x tCCLK ns 1 ns
Pulse Width High (min) tCCLK + 4 ns 4 x tSCLK ns 3 x tCCLK ns
These input pins do not need to be synchronized to a clock reference. This pin is a strap option. During reset, an internal resistor pulls the pin low. 3 For output specifications, see Table 25 and Table 26.
Table 18. Reference Clocks--Core Clock (CCLK) Cycle Time
Grade = 100 (300MHz) Min Max 3.3 12.5 Grade = 000 (250MHz) Min Max 4.0 12.5
Parameter tCCLK1
1
Description Core Clock Cycle Time
Unit ns
CCLK is the internal processor clock or instruction cycle time. The period of this clock is equal to the system clock period (tSCLK) divided by the system clock ratio (SCLKRAT2-0). For information on available part numbers for different internal processor clock rates, see the Ordering Guide on Page 44.
tCCLK
CCLK
Figure 8. Reference Clocks--Core Clock (CCLK) Cycle Time
Table 19. Reference Clocks--Local Clock (LCLK) Cycle Time
Parameter tLCLK1, 2, 3, 4 tLCLKH tLCLKL tLCLKJ5, 6
1 2
Description Local Clock Cycle Time Local Clock Cycle High Time Local Clock Cycle Low Time Local Clock Jitter Tolerance
Min 10 0.4 x tLCLK 0.4 x tLCLK -
Max 25 0.6 x tLCLK 0.6 x tLCLK 500
Unit ns ns ns ps
For more information, see Table 3 on Page 12. For more information, see Clock Domains on Page 9. 3 LCLK_P and SCLK_P must be connected to the same source. 4 The value of (tLCLK / LCLKRAT2-0) must not violate the specification for tCCLK. 5 Actual input jitter should be combined with ac specifications for accurate timing analysis. 6 Jitter specification is maximum peak-to-peak time interval error (TIE) jitter.
ttLCLK LCLK ttLCLKH LCLKH tLCLKL LCLKL ttLCLKJ LCLKJ
LCLK_P LCLK_P
Figure 9. Reference Clocks--Local Clock (LCLK) Cycle Time
Rev. B
|
Page 22 of 44 |
December 2004
ADSP-TS101S
Table 20. Reference Clocks--System Clock (SCLK) Cycle Time
Parameter tSCLK1, 2, 3, 4 tSCLKH tSCLKL tSCLKJ5, 6
1 2
Description System Clock Cycle Time System Clock Cycle High Time System Clock Cycle Low Time System Clock Jitter Tolerance
Min 10 0.4 x tSCLK 0.4 x tSCLK -
Max 25 0.6 x tSCLK 0.6 x tSCLK 500
Unit ns ns ns ps
For more information, see Table 3 on Page 12. For more information, see Clock Domains on Page 9. 3 LCLK_P and SCLK_P must be connected to the same source. 4 The value of (tSCLK / LCLKRAT2-0) must not violate the specification for tCCLK. 5 Actual input jitter should be combined with ac specifications for accurate timing analysis. 6 Jitter specification is maximum peak-to-peak time interval error (TIE) jitter.
ttSCLK SCLK ttSCLKH SCLKH tSCLKL SCLKL ttSCLKJ SCLKJ
SCLK_P SCLK_P
Figure 10. Reference Clocks--System Clock (SCLK) Cycle Time
Table 21. Reference Clocks--Test Clock (TCK) Cycle Time
Parameter tTCK tTCKH tTCKL Description Test Clock (JTAG) Cycle Time Test Clock (JTAG) Cycle High Time Test Clock (JTAG) Cycle Low Time
tTCK tTCKH tTCKL
Min Greater of 30 or tCCLK x 4 12.5 12.5
Max - - -
Unit ns ns ns
TCK
Figure 11. Reference Clocks--Test Clock (TCK) Cycle Time
Table 22. Power-Up Timing1
Parameter Timing Requirement tVDD_IO VDD_IO Stable and Within Specification After VDD and VDD_A Are Stable and Within Specification
1
Min >0
Max
Unit ms
For information about power supply sequencing and monitoring solutions, please visit http://www.analog.com/sequencing.
VDD VDD_A
tVDD_IO
VDD_IO
Figure 12. Power-Up Sequencing Timing
Rev. B
|
Page 23 of 44 |
December 2004
ADSP-TS101S
Table 23. Power-Up Reset Timing
Parameter Timing Requirements tSTART_LO RESET Deasserted After VDD, VDD_A, VDD_IO, SCLK/LCLK, and Static/Strap Pins Are Stable and Within Specification tPULSE1_HI RESET Deasserted for First Pulse tPULSE2_LO RESET Asserted for Second Pulse tTRST_PWR1 TRST Asserted During Power-Up Reset
1
Min 2 50 x tSCLK 100 x tSCLK 2 x tSCLK
Max
Unit ms
100 x tSCLK
ns ns ns
Applies after VDD, VDD_A, VDD_IO, and SCLK/LCLK and static/strap pins are stable and within specification, and before RESET is deasserted.
t P ULS E 1 _H I t S TA R T_ LO
RESET
t P U L S E2_ LO
t T RS T _P W R
TRST
V D D , V D D_ A , V D D_IO , SCL K/LCLK, STAT IC/STR AP PINS
Figure 13. Power-Up Reset Timing
Table 24. Normal Reset Timing
Parameter Timing Requirements tRST_IN RESET Asserted tSTRAP RESET Deasserted After Strap Pins Stable
tRST_IN
RESET
Min 100 x tSCLK 2
Max
Unit ns ms
tSTRAP
STRAP PINS
Figure 14. Normal Reset (Hot Reset) Timing
Rev. B
|
Page 24 of 44 |
December 2004
ADSP-TS101S
Table 25. AC Signal Specifications (for SCLK <16.7 ns)
(All values in this table are in nanoseconds) Output Disable (max)2 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 4.0 5.0 5.0 Output Enable (min)2 Output Valid (max)1 Output Hold (min) Input Setup (min) Input Hold (min)
Name ADDR31-0 DATA63-0 MSH MSSD MS1-0 RD WRL WRH ACK SDCKE RAS CAS SDWE LDQM HDQM SDA10 HBR HBG BOFF BUSLOCK BRST BR7-0 FLYBY IOEN CPA 3, 4 DPA 3, 4 BMS5 FLAG3-06 RESET4, 7 TMS4 TDI4 TDO TRST4, 7, 9 BM5 EMU10 JTAG_SYS_IN11 JTAG_SYS_OUT12 ID2-09 CONTROLIMP2-09
Description External Address Bus External Data Bus Memory Select Host Line Memory Select SDRAM Line Memory Select for Static Blocks Memory Read Write Low Word Write High Word Acknowledge for Data SDRAM Clock Enable Row Address Select Column Address Select SDRAM Write Enable Low Word SDRAM Data Mask High Word SDRAM Data Mask SDRAM ADDR10 Host Bus Request Host Bus Grant Back Off Request Bus Lock Burst Access Multiprocessing Bus Request Flyby Mode Selection Flyby I/O Enable Core Priority Access DMA Priority Access Boot Memory Select FLAG Pins Global Reset Test Mode Select (JTAG) Test Data Input (JTAG) Test Data Output (JTAG) Test Reset (JTAG) Bus Master Debug Aid Only Emulation System Input System Output Chip ID--Must Be Constant Static Pins--Must Be Constant
2.6 2.6 2.6 2.6 2.6 2.6 2.6 2.6 2.6 2.6 2.6
0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5
4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 5.8 5.8 4.2 4.2
1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0
0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9 0.9
2.6 2.6 2.6 2.6 2.6
0.5 0.5 0.5 0.5 0.5
2.6 2.6
0.5 0.5
1.0 1.0
0.9 1.0
1.5 1.5
1.0 1.0 6.0 4.2 5.5 1.0 1.0 1.0
1.5
11.0 16.0
SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK TCK TCK TCK_FE8 TCK SCLK TCK or LCLK TCK TCK_FE8
Rev. B
|
Page 25 of 44 |
December 2004
Reference Clock
ADSP-TS101S
Table 25. AC Signal Specifications (for SCLK <16.7 ns) (Continued)
(All values in this table are in nanoseconds) Output Disable (max)2 Output Enable (min)2 Output Valid (max)1 Output Hold (min) Input Setup (min) Input Hold (min)
Name DS2-09 LCLKRAT2-09 SCLKFREQ9
1
Description Static Pins--Must Be Constant Static Pins--Must Be Constant Static Pins--Must Be Constant
The output valid (max) value in this column applies for the standard 30 pF capacitive load used in testing. To see how output valid varies with capacitive loading, see Figure 39 on Page 36. 2 The external port protocols employ bus IDLE cycles for bus mastership transitions as well as slave address boundary crossings to avoid any potential bus contention. The apparent driver overlap, due to output disables being larger than output enables, is not actual. 3 CPA and DPA pins are open drains and have 0.5 k internal pull-ups. 4 These input pins have Schmitt triggers and therefore do not need to be synchronized to a clock reference. These synchronous specifications only apply for recognition in the current clock reference cycle. 5 This pin is a strap option. During reset, an internal resistor pulls the pin low. 6 For input specifications, see Table 17. 7 For additional requirement details, see Reset and Booting on Page 9. 8 TCK_FE indicates TCK falling edge. 9 These pins may change only during reset; recommend connecting it to VDD_IO/VSS. 10 Reference clock depends on function. 11 System inputs are: IRQ3-0, BMS, LCLKRAT2-0, SCLKFREQ, BM, TMR0E, FLAG3-0, ID2-0, BRST, WRH, WRL, RD, MSSD, SDCKE, SDWE, CAS, RAS, ADDR31-0, DATA63-0, DPA, CPA, HBG, BOFF, HBR, ACK, BR7-0, L0CLKIN, L0DAT7-0, L1CLKIN, L1DAT7-0, L2CLKIN, L2DAT7-0, L2DIR, L3CLKIN, L3DAT7-0, DS2-0, CONTROLIMP2-0, RESET, DMAR3-0. 12 System outputs are: BMS, BM, BUSLOCK, TMR0E, FLAG3-0, FLYBY, IOEN, MSH, BRST, WRH, WRL, RD, MS1-0, HDQM, LDQM, MSSD, SDCKE, SDWE, CAS, RAS, ADDR31-0, DATA63-0, DPA, CPA, HBG, ACK, BR7-0, L0CLKOUT, L0DAT7-0, L0DIR, L1CLKOUT, L1DAT7-0, L1DIR, L2CLKOUT, L2DAT7-0, L2DIR, L3CLKOUT, L3DAT7-0, L3DIR, EMU.
Rev. B
|
Page 26 of 44 |
December 2004
Reference Clock
ADSP-TS101S
Table 26. AC Signal Specifications (for 16.7 ns (All values in this table are in nanoseconds) Output Disable (max)2 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 2.5 4.0 5.0 5.0 Output Enable (min)2 Output Valid (max)1 Output Hold (min) Input Setup (min) Input Hold (min)
Name ADDR31-0 DATA63-0 MSH MSSD MS1-0 RD WRL WRH ACK SDCKE RAS CAS SDWE LDQM HDQM SDA10 HBR HBG BOFF BUSLOCK BRST BR7-0 FLYBY IOEN CPA 3, 4 DPA 3, 4 BMS5 FLAG3-06 RESET4, 7 TMS4 TDI4 TDO TRST4, 7, 9 BM5 EMU10 JTAG_SYS_IN11 JTAG_SYS_OUT12 ID2-09 CONTROLIMP2-09
Description External Address Bus External Data Bus Memory Select Host Line Memory Select SDRAM Line Memory Select for Static Blocks Memory Read Write Low Word Write High Word Acknowledge for Data SDRAM Clock Enable Row Address Select Column Address Select SDRAM Write Enable Low Word SDRAM Data Mask High Word SDRAM Data Mask SDRAM ADDR10 Host Bus Request Host Bus Grant Back Off Request Bus Lock Burst Access Multiprocessing Bus Request Flyby Mode Selection Flyby Mode I/O Enable Core Priority Access DMA Priority Access Boot Memory Select FLAG Pins Global Reset Test Mode Select (JTAG) Test Data Input (JTAG) Test Data Output (JTAG) Test Reset (JTAG) Bus Master Debug Aid Only Emulation System Input System Output Chip ID--Must Be Constant Static Pins--Must Be Constant
2.8 2.8 2.8 2.8 2.8 2.8 2.8 2.8 2.8 2.8 2.8
0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5
4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 4.2 5.8 5.8 4.2 4.2
0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8 0.8
0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3 0.3
2.8 2.8 2.8 2.8 2.8
0.5 0.5 0.5 0.5 0.5
2.8 2.8
0.5 0.5
0.8 1.0
0.3 1.0
1.5 1.5
1.0 1.0 6.0 4.2 5.5 1.0 0.8 1.0
1.5
11.0 16.0
SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK SCLK TCK TCK TCK_FE8 TCK SCLK TCK or LCLK TCK TCK_FE8
Rev. B
|
Page 27 of 44 |
December 2004
Reference Clock
ADSP-TS101S
Table 26. AC Signal Specifications (for 16.7 ns (All values in this table are in nanoseconds) Output Disable (max)2 Output Enable (min)2 Output Valid (max)1 Output Hold (min) Input Setup (min) Input Hold (min)
Name DS2-09 LCLKRAT2-09 SCLKFREQ9
1
Description Static Pins--Must Be Constant Static Pins--Must Be Constant Static Pins--Must Be Constant
The output valid (max) value in this column applies for the standard 30 pF capacitive load used in testing. To see how output valid varies with capacitive loading, see Figure 39 on Page 36. 2 The external port protocols employ bus IDLE cycles for bus mastership transitions as well as slave address boundary crossings to avoid any potential bus contention. The apparent driver overlap, due to output disables being larger than output enables, is not actual. 3 CPA and DPA pins are open drains and have 0.5 k internal pull-ups. 4 These input pins have Schmitt triggers and therefore do not need to be synchronized to a clock reference. These synchronous specifications only apply for recognition in the current clock reference cycle. 5 This pin is a strap option. During reset, an internal resistor pulls the pin low. 6 For input specifications, see Table 17. 7 For additional requirement details, see Reset and Booting on Page 9. 8 TCK_FE indicates TCK falling edge. 9 These pins may change only during reset; recommend connecting it to VDD_IO/VSS. 10 Reference clock depends on function. 11 System inputs are: IRQ3-0, BMS, LCLKRAT2-0, SCLKFREQ, BM, TMR0E, FLAG3-0, ID2-0, BRST, WRH, WRL, RD, MSSD, SDCKE, SDWE, CAS, RAS, ADDR31-0, DATA63-0, DPA, CPA, HBG, BOFF, HBR, ACK, BR7-0, L0CLKIN, L0DAT7-0, L1CLKIN, L1DAT7-0, L2CLKIN, L2DAT7-0, L2DIR, L3CLKIN, L3DAT7-0, DS2-0, CONTROLIMP2-0, RESET, DMAR3-0. 12 System outputs are: BMS, BM, BUSLOCK, TMR0E, FLAG3-0, FLYBY, IOEN, MSH, BRST, WRH, WRL, RD, MS1-0, HDQM, LDQM, MSSD, SDCKE, SDWE, CAS, RAS, ADDR31-0, DATA63-0, DPA, CPA, HBG, ACK, BR7-0, L0CLKOUT, L0DAT7-0, L0DIR, L1CLKOUT, L1DAT7-0, L1DIR, L2CLKOUT, L2DAT7-0, L2DIR, L3CLKOUT, L3DAT7-0, L3DIR, EMU.
REFERENCE CLOCK 1.5V
INPUT SIGNAL 1.5V INPUT SETUP INPUT HOLD
OUTPUT SIGNAL OUTPUT VALID 1.5V OUTPUT HOLD
THREE-STATE OUTPUT DISABLE OUTPUT ENABLE
ASYNCHRONOUS INPUT OR OUTPUT SIGNAL 1.5V PULSE WIDTH
Figure 15. General AC Parameters Timing
Rev. B
|
Page 28 of 44 |
December 2004
Reference Clock
ADSP-TS101S
Link Ports Data Transfer and Token Switch Timing
Table 27, Table 28, Table 29, and Table 30 with Figure 16, Figure 17, Figure 18, and Figure 19 provide the timing specifications for the link ports data transfer and token switch. Table 27. Link Ports--Transmit
Parameter Timing Requirements Connectivity Pulse Setup tCONNS1 tCONNS2 Connectivity Pulse Setup tCONNIW3 Connectivity Pulse Input Width tACKS Acknowledge Setup Switching Characteristics tLXCLK_TX4 Transmit Link Clock Period Transmit Link Clock Width High tLXCLKH_TX1 2 tLXCLKH_TX Transmit Link Clock Width High tLXCLKL_TX1 Transmit Link Clock Width Low tLXCLKL_TX2 Transmit Link Clock Width Low tDIRS LxDIR Transmit Setup tDIRH LxDIR Transmit Hold LxDAT7-0 Output Setup tDOS1 1 tDOH LxDAT7-0 Output Hold tDOS2 LxDAT7-0 Output Setup tDOH2 LxDAT7-0 Output Hold tLDOE LxDAT7-0 Output Enable 5 tLDOD LxDAT7-0 Output Disable
1 2
Min 2 x tCCLK + 3.5 8 tLXCLK_TX + 1 0.5 x tLXCLK_TX
Max
Unit ns ns ns ns
0.9 x LR x tCCLK 0.33 x tLXCLK_TX 0.4 x tLXCLK_TX 0.33 x tLXCLK_TX 0.4 x tLXCLK_TX 0.5 x tLXCLK_TX 0.5 x tLXCLK_TX 0.25 x tLXCLK_TX - 1 0.25 x tLXCLK_TX - 1 Greater of 0.8 or 0.17 x tLXCLK_TX - 1 Greater of 0.8 or 0.17 x tLXCLK_TX - 1 1 1
1.1 x LR x tCCLK 0.66 x tLXCLK_TX 0.6 x tLXCLK_TX 0.66 x tLXCLK_TX 0.6 x tLXCLK_TX 2 x tLXCLK_TX 2 x tLXCLK_TX
ns ns ns ns ns ns ns ns ns ns ns ns ns
The formula for this parameter applies when LR is 2. The formula for this parameter applies when LR is 3, 4, or 8. 3 LxCLKIN shows the connectivity pulse with each of the three possible transitions to "Acknowledge." After a connectivity pulse low minimum, LxCLKIN may [1] return high and remain high for "Acknowledge," [2] return high and subsequently go low (meeting tACKS) for "Not Acknowledge," or [3] remain low for "Not Acknowledge." 4 The Link clock Ratio (LR) is 2, 3, 4, or 8 as set by the SPD bits in the LCTLx register. The maximum LxCLK is 125 MHz. LR = 2 may not be used when CCLK 250 MHz. 5 This specification applies to the last data byte or the "Dummy" byte that follows the verification byte if enabled. For more information, see the ADSP-TS101 TigerSHARC Processor Hardware Reference.
tCONNS tDIRS tLxCLKH_Tx
1 LxCLKOUT 0 2 3 4
tLxCLK_Tx tDOH tLxCLKL_Tx tDOS
5 6 7 8
tACKS tDOH tDOS
9 10 11 12 13 14 15
tDIRH
tCONNIW
LxCLKIN
tLDOE
LxDAT7-0
tLDOD
LxDIR
Figure 16. Link Ports--Transmit
Rev. B
|
Page 29 of 44 |
December 2004
ADSP-TS101S
Table 28. Link Ports--Receive
Parameter Timing Requirements tLXCLK_RX1, 2 Receive Link Clock Period Receive Link Clock Width High tLXCLKH_RX3 tLXCLKH_RX4 Receive Link Clock Width High 3 tLXCLKL_RX Receive Link Clock Width Low tLXCLKL_RX4 Receive Link Clock Width Low tDIS LxDAT7-0 Input Setup tDIH LxDAT7-0 Input Hold Switching Characteristics Connectivity Pulse Valid tCONNV tCONNOW Connectivity Pulse Output Width
1 2
Min 0.9 x LR x tCCLK 0.33 x tLXCLK_RX 0.4 x tLXCLK_RX 0.33 x tLXCLK_RX 0.4 x tLXCLK_RX 0.6 0.6 0 1.5 x tLXCLK_RX
Max 1.1 x LR x tCCLK 0.66 x tLXCLK_RX 0.6 x tLXCLK_RX 0.66 x tLXCLK_RX 0.6 x tLXCLK_RX
Unit ns ns ns ns ns ns ns ns ns
2.5 x tLXCLK_RX
The link clock ratio (LR) is 2, 3, 4, or 8 as set by the SPD bits in the LCTLx register. The maximum LxCLK is 125 MHz. LR = 2 may not be used when CCLK 250 MHz. 3 The formula for this parameter applies when LR is 2. 4 The formula for this parameter applies when LR is 3, 4, or 8.
tLxCLK_Rx tCONNV
LxCLKIN 0 1 2 3 4
tLxCLKH_Rx tLxCLKL_Rx
5 6
tDIH tDIS
7 8 9 10
tDIH tDIS
11 12 13 14 15
tCONNOW
LxCLKOUT
LxDAT7-0
LxDIR
Figure 17. Link Ports--Receive
Rev. B
|
Page 30 of 44 |
December 2004
ADSP-TS101S
Table 29. Link Ports--Token Switch, Token Master
Parameter Timing Requirements tREQI Token Request Input Width Token Request from Token Enable1 tTKRQ Switching Characteristics tTKENO Token Switch Enable Output tREQO Token Request Output Width2
1 2
Min 5.0 x tLXCLK_RX
Max
Unit ns ns
3.0 x tLXCLK_TX
8.0 x tLXCLK_TX 6.0 x tLXCLK_TX
ns ns
For guaranteeing token switch during token enable. LxCLKOUT shows both possible responses to the token request: [1] a "Token Grant" (LxCLKOUT remains high), and [2] a "Token Regret" (LxCLKOUT goes low).
tTKENO
LxCLKOUT 15 14
tREQO
tTKRQ
LxCLKIN
tREQI
Figure 18. Link Ports--Token Switch, Token Master
Table 30. Link Ports--Token Switch, Token Requester
Parameter Timing Requirements tTKENI1 Token Switch Enable Input Switching Characteristics tREQO Token Request Output Width2
1 2
Min 8.0 x tLXCLK_RX
Max
Unit ns
6.0 x tLXCLK_RX
ns
Required whenever there is a break in transmission. LxCLKOUT shows both possible responses to the token request: [1] a "Token Grant" (LxCLKOUT remains high), and [2] a "Token Regret" (LxCLKOUT goes low).
tTKENI
LxCLKIN
(FOR TOKEN REGRET)
tREQO
13 12 14
15
tTKRQ tREQO
LxCLKOUT
(FOR TOKEN REGRET)
tTKENI
LxCLKIN
(FOR TOKEN GRANT)
13 12 14
15
tTKRQ
tREQO
1 0 2 3
LxCLKOUT
(FOR TOKEN GRANT)
Figure 19. Link Ports--Token Switch, Token Requester
Rev. B
|
Page 31 of 44 |
December 2004
ADSP-TS101S
OUTPUT DRIVE CURRENTS
Figure 20 through Figure 27 show typical I-V characteristics for the output drivers of the ADSP-TS101S. The curves in these diagrams represent the current drive capability of the output drivers as a function of output voltage over the range of drive strengths. For complete output driver characteristics, refer to IBIS models, available on the Analog Devices website, www.analog.com.
STRENGTH 0 30 25 20 15
OUTPUT PIN CURRENT - mA
STRENGTH 2 80 IOL 60
OUTPUT PIN CURRENT - mA
40 20 0 -20 -40 -60 -80 -100 VDD_IO = 3.15V, +85C VDD_IO = 3.15V, +85C
VDD_IO = 3.45V, -40C VDD_IO = 3.3V, +25C VDD_IO = 3.45V, -40C
VDD_IO = 3.3V, +25C
IOL VDD_IO = 3.45V, -40C VDD_IO = 3.3V, +25C VDD_IO = 3.45V, -40C
10 5 0 -5 -10 -15 -20 -25 -30 0 0.5
IOH
0
0.5
VDD_IO = 3.15V, +85C
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
VDD_IO = 3.3V, +25C VDD_IO = 3.15V, +85C
Figure 22. Typical Drive Currents at Strength 2
IOH
STRENGTH 3 125 100 IOL
OUTPUT PIN CURRENT - mA
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
75 50 VDD_IO = 3.3V, +25C 25 0 -25 -50 -75 -100 IOH VDD_IO = 3.15V, +85C VDD_IO = 3.15V, +85C VDD_IO = 3.45V, -40C VDD_IO = 3.45V, -40C
Figure 20. Typical Drive Currents at Strength 0
STRENGTH 1 60 50 40
OUTPUT PIN CURRENT - mA
VDD_IO = 3.3V, +25C
IOL
30 20 10 0 -10 -20 V DD_IO = 3.15V, +85C -30 -40 -50 -60 -70 0 0.5 VDD_IO = 3.15V, +85C VDD_IO = 3.3V, +25C
VDD_IO = 3.45V, -40C
VDD_IO = 3.45V, -40C
-125 0 0.5 1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V 3.0 3.5
VDD_IO = 3.3V, +25C
Figure 23. Typical Drive Currents at Strength 3
IOH
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
Figure 21. Typical Drive Currents at Strength 1
Rev. B
|
Page 32 of 44 |
December 2004
ADSP-TS101S
STRENGTH 4 140 120 100 80
OUTPUT PIN CURRENT - mA
STRENGTH 6 180 160 140 120 100 80 60 40 20 0 -20 -40 -60 -80 -100 -120 -140 -160 -180 -200 -220 0
IOL
IOL VDD_IO = 3.45V, -40C VDD_IO = 3.3V, +25C VDD_IO = 3.45V, -40C
60 40 20 0 -20 -40 -60 -80 -100 -120 -140 -160 0 0.5
VDD_IO = 3.3V, +25C VDD_IO = 3.45V, -40C
OUTPUT PIN CURRENT - mA
VDD_IO = 3.45V, -40C
VDD_IO = 3.15V, +85C
VDD_IO = 3.15V, +85C
VDD_IO = 3.3V, +25C VDD_IO = 3.15V, +85C
VDD_IO = 3.3V, +25C VDD_IO = 3.15V, +85C
IOH
IOH
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
0.5
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
Figure 24. Typical Drive Currents at Strength 4
Figure 26. Typical Drive Currents at Strength 6
STRENGTH 5 160 140 120 100 80 60 40 20 0 -20 -40 -60 -80 -100 -120 -140 -160 -180 0 0.5 1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V 3.0 3.5 IOL VDD_IO = 3.45V, -40C VDD_IO = 3.3V, +25C
STRENGTH 7 220 200 180 160 140 120 100 80 60 40 20 0 -20 -40 -60 -80 -100 -120 -140 -160 -180 -200 -220 0
IOL VDD_IO = 3.45V, -40C VDD_IO = 3.3V, +25C VDD_IO = 3.45V, -40C
OUTPUT PIN CURRENT - mA
VDD_IO = 3.15V, +85C
VDD_IO = 3.45V, -40C
OUTPUT PIN CURRENT - mA
VDD_IO = 3.15V, +85C
VDD_IO = 3.3V, +25C VDD_IO = 3.15V, +85C
VDD_IO = 3.3V, +25C VDD_IO = 3.15V, +85C
IOH
IOH
0.5
1.0 1.5 2.0 2.5 OUTPUT PIN VOLTAGE - V
3.0
3.5
Figure 25. Typical Drive Currents at Strength 5
Figure 27. Typical Drive Currents at Strength 7
Rev. B
|
Page 33 of 44 |
December 2004
ADSP-TS101S
TEST CONDITIONS
The test conditions for timing parameters appearing in Table 25 on Page 25 and Table 26 on Page 27 include output disable time, output enable time, and capacitive loading. The timing specifications for the DSP apply for the voltage reference levels in Figure 28. t C V L = -------------RAMP I D
INPUT OR OUTPUT
1.5V
1.5V
The output enable time tENA is the difference between tMEASURED_ENA and tRAMP as shown in Figure 29. The time tMEASURED_ENA is the interval from when the reference signal switches to when the output voltage ramps V from the measured three-stated output level. The tRAMP value is calculated with test load CL, drive current ID, and with V equal to 0.5 V.
Capacitive Loading
Figure 28. Voltage Reference Levels for AC Measurements (Except Output Enable/Disable)
REFERENCE SIGNAL
tMEASURED_DIS tDIS
VOH (MEASURED)
tMEASURED_ENA tENA
Figure 30 shows the circuit with variable capacitance that is used for measuring typical output rise and fall times. Figure 31 through Figure 38 show how output rise time varies with capacitance. Figure 39 graphically shows how output valid varies with load capacitance. (Note that this graph or derating does not apply to output disable delays; see Output Disable Time on Page 34.) The graphs of Figure 31 through Figure 39 may not be linear outside the ranges shown.
TO OUTPUT PIN
VOH (MEASURED) -
V
2.0V 1.0V
VOL (MEASURED)
VOL (MEASURED) + V
1.5V VARIABLE (10pF to 100pF)
tDECAY
OUTPUT STOPS DRIVING
tRAMP
OUTPUT STARTS DRIVING
Figure 30. Equivalent Device Loading for AC Measurements (Includes All Fixtures)
HIGH IMPEDANCE STATE. TEST CONDITIONS CAUSE THIS VOLTAGE TO BE APPROXIMATELY 1.5V.
25 STRENGTH 0 (VDD_IO = 3.3V)
RISE AND FALL TIMES - ns
Figure 29. Output Enable/Disable
Output Disable Time
Output pins are considered to be disabled when they stop driving, go into a high impedance state, and start to decay from their output high or low voltage. The time for the voltage on the bus to decay by V is dependent on the capacitive load, CL and the load current, IL. This decay time can be approximated by the following equation: C L V t DECAY = -------------IL The output disable time tDIS is the difference between tMEASURED_DIS and tDECAY as shown in Figure 29. The time tMEASURED_DIS is the interval from when the reference signal switches to when the output voltage decays V from the measured output high or output low voltage. The tDECAY value is calculated with test loads CL and IL, and with V equal to 0.5 V.
20
RISE TIME
15
y = 0.2015x + 3.8869
FALL TIME y = 0.174x + 2.6931
10
5
0
0
10
20
30
40
50
60
70
80
90
100
LOAD CAPACITANCE - pF
Figure 31. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 0
Output Enable Time
Output pins are considered to be enabled when they have made a transition from a high impedance state to when they start driving. The time for the voltage on the bus to ramp by V is dependent on the capacitive load, CL, and the drive current, ID. This ramp time can be approximated by the following equation:
Rev. B
|
Page 34 of 44 |
December 2004
ADSP-TS101S
25
RISE AND FALL TIMES - ns
STRENGTH 1 (VDD_IO = 3.3V)
25
STRENGTH 4 (VDD_IO = 3.3V)
20
RISE AND FALL TIMES - ns
20
15
15
10
RISE TIME y = 0.1349x + 1.9955
10
RISE TIME y = 0.1071x + 0.9877
FALL TIME 5 y = 0.1163x + 1.4058
5
FALL TIME y = 0.0798x + 1.0743
0
0
10
20
30
40
50
60
70
80
90
100
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
LOAD CAPACITANCE - pF
Figure 32. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 1
Figure 35. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 4
25
STRENGTH 2 (VDD_IO = 3.3V)
25
STRENGTH 5 (VDD_IO = 3.3V)
RISE AND FALL TIMES - ns
20
RISE AND FALL TIMES - ns
20
15
15
10
RISE TIME y = 0.1304x + 0.8427
10
RISE TIME y = 0.1001x + 0.7763
FALL TIME 5 y = 0.1144x + 0.7025
5
FALL TIME y = 0.0793x + 0.8691
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
Figure 33. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 2
Figure 36. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 5
25
STRENGTH 3 (VDD_IO = 3.3V)
25
STRENGTH 6 (VDD_IO = 3.3V)
RISE AND FALL TIMES - ns
20
RISE AND FALL TIMES - ns
20
15
15
10
RISE TIME y = 0.1082x + 1.3123
10
RISE TIME y = 0.0946x + 1.2187
FALL TIME y = 0.0912x + 1.2048
5
5
FALL TIME y = 0.0906x + 0.4597
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
Figure 34. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 3
Figure 37. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 6
Rev. B
|
Page 35 of 44 |
December 2004
ADSP-TS101S
25 STRENGTH 7 (VDD_IO = 3.3V)
RISE AND FALL TIMES - ns
ENVIRONMENTAL CONDITIONS
The ADSP-TS101S is rated for performance over the extended commercial temperature range, TCASE = -40C to +85C.
20
15
Thermal Characteristics
The ADSP-TS101S is packaged in a 19 mm x 19 mm and 27 mm x 27 mm Plastic Ball Grid Array (PBGA). The ADSPTS101S is specified for a case temperature (TCASE). To ensure that the TCASE data sheet specification is not exceeded, a heat sink and/or an air flow source may be used. See Table 31 and Table 32 for thermal data. Table 31. Thermal Characteristics for 19 mm x 19 mm Package
Parameter JA1 Condition Airflow2 = 0 m/s Airflow3 = 1 m/s Airflow3 = 2 m/s Typical 16.6 14.0 12.9 6.7 5.8 Unit C/W C/W C/W C/W C/W
10
RISE TIME y = 0.0907x + 1.0071
5
FALL TIME y = 0.09x + 0.3134
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
Figure 38. Typical Output Rise and Fall Time (10%-90%, VDD_IO = 3.3 V) vs. Load Capacitance at Strength 7
15 STRENGTH 0-7 (VDD_IO = 3.3V) 0
JC JB
1 2 3 4 5
1
OUTPUT VALID - ns
10
5
6 7
Determination of parameter is system dependent and is based on a number of factors, including device power dissipation, package thermal resistance, board thermal characteristics, ambient temperature, and air flow. 2 Per JEDEC JESD51-2 procedure using a four layer board (compliant with JEDEC JESD51-9). 3 Per SEMI Test Method G38-87 using a four layer board (compliant with JEDEC JESD51-9).
0 0 10 20 30 40 50 60 70 80 90 100 LOAD CAPACITANCE - pF
Table 32. Thermal Characteristics for 27 mm x 27 mm Package
Parameter JA1 Condition Airflow2 = 0 m/s Airflow3 = 1 m/s Airflow3 = 2 m/s Typical 13.8 11.7 10.8 3.1 5.9 Unit C/W C/W C/W C/W C/W
Figure 39. Typical Output Valid (VDD_IO = 3.3 V) vs. Load Capacitance at Max Case Temperature and Strength 0-71
1
The line equations for the output valid vs. load capacitance are: Strength 0: y = 0.0956x + 3.5662 Strength 1: y = 0.0523x + 3.2144 Strength 2: y = 0.0433x + 3.1319 Strength 3: y = 0.0391x + 2.9675 Strength 4: y = 0.0393x + 2.7653 Strength 5: y = 0.0373x + 2.6515 Strength 6: y = 0.0379x + 2.1206 Strength 7: y = 0.0399x + 1.9080
JC JB
1
Determination of parameter is system dependent and is based on a number of factors, including device power dissipation, package thermal resistance, board thermal characteristics, ambient temperature, and air flow. 2 Per JEDEC JESD51-2 procedure using a four layer board (compliant with JEDEC JESD51-9). 3 Per SEMI Test Method G38-87 using a four layer board (compliant with JEDEC JESD51-9).
PBGA PIN CONFIGURATIONS
The 484-ball PBGA pin configurations appear in Table 33 and Figure 40. The 625-ball PBGA pin configurations appear in Table 34 and Figure 41.
Rev. B
|
Page 36 of 44 |
December 2004
ADSP-TS101S
Table 33. 484-Ball (19 mm x 19 mm) PBGA Pin Assignments
Pin No. A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12 F13 F14 F15 F16 F17 F18 F19 F20 F21 F22 Mnemonic VSS DATA14 DATA11 DATA8 DATA4 DATA1 L0DIR L0CLKIN L0DAT6 L0DAT3 L0DAT1 VSS LCLK_N VSS_A SCLK_N SCLK_P CONTROLIMP2 CONTROLIMP1 RESET DMAR1 EMU VSS DATA29 DATA30 DATA26 VDD_IO VDD_IO VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO LCLKRAT0 SCLKFREQ TMR0E Pin No. B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 G17 G18 G19 G20 G21 G22 Mnemonic DATA21 DATA18 DATA12 DATA13 DATA7 DATA5 DATA2 NC L0DAT7 L0DAT4 L0DAT0 VSS VDD_A VSS_A VSS DS1 CONTROLIMP0 DMAR2 DMAR0 TMS TDI IRQ1 L3DAT1 DATA28 DATA27 VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO FLAG3 BUSLOCK FLAG0 Pin No. C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 H11 H12 H13 H14 H15 H16 H17 H18 H19 H20 H21 H22 Mnemonic DATA23 DATA17 DATA15 DATA9 DATA10 DATA6 DATA3 DATA0 L0CLKOUT L0DAT5 L0DAT2 LCLK_P VSS VDD_A DS0 DS2 VREF TRST DMAR3 TCK IRQ3 IRQ0 L3DAT2 L3DAT0 DATA31 VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD_IO VDD_IO FLAG1 FLAG2 ID1 Pin No. D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 J1 J2 J3 J4 J5 J6 J7 J8 J9 J10 J11 J12 J13 J14 J15 J16 J17 J18 J19 J20 J21 J22 Mnemonic DATA24 DATA19 DATA16 VDD_IO VDD VDD VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD VDD_IO VDD VDD_IO TDO IRQ2 LCLKRAT1 L3DAT5 L3DAT3 L3DAT4 VDD_IO VDD_IO VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO ID0 ID2 MSH Pin No. E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E12 E13 E14 E15 E16 E17 E18 E19 E20 E21 E22 K1 K2 K3 K4 K5 K6 K7 K8 K9 K10 K11 K12 K13 K14 K15 K16 K17 K18 K19 K20 K21 K22 Mnemonic DATA25 DATA22 DATA20 VDD_IO VDD VDD VDD_IO VDD VDD VDD VDD_IO VDD VDD_IO VDD VDD_IO VDD VDD_IO VDD_IO VDD_IO BM BMS LCLKRAT2 L3CLKOUT L3DAT7 L3DAT6 VDD_IO VDD_IO VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO IOEN FLYBY WRL
Rev. B
|
Page 37 of 44 |
December 2004
ADSP-TS101S
Table 33. 484-Ball (19 mm x 19 mm) PBGA Pin Assignments (Continued)
Pin No. L1 L2 L3 L4 L5 L6 L7 L8 L9 L10 L11 L12 L13 L14 L15 L16 L17 L18 L19 L20 L21 L22 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 Mnemonic L3CLKIN NC L3DIR VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD_IO VDD_IO BRST WRH RD L1DIR DATA36 DATA37 VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO ADDR23 ADDR25 ADDR27 Pin No. M1 M2 M3 M4 M5 M6 M7 M8 M9 M10 M11 M12 M13 M14 M15 M16 M17 M18 M19 M20 M21 M22 U1 U2 U3 U4 U5 U6 U7 U8 U9 U10 U11 U12 U13 U14 U15 U16 U17 U18 U19 U20 U21 U22 Mnemonic L1DAT0 L1DAT2 L1DAT1 VDD_IO VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD_IO VDD HDQM MS0 MS1 NC DATA38 DATA39 VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO ADDR30 ADDR22 ADDR26 Pin No. N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 N11 N12 N13 N14 N15 N16 N17 N18 N19 N20 N21 N22 V1 V2 V3 V4 V5 V6 V7 V8 V9 V10 V11 V12 V13 V14 V15 V16 V17 V18 V19 V20 V21 V22 Mnemonic L1DAT3 L1DAT5 L1DAT7 VDD_IO VDD_IO VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO SDWE MSSD LDQM DATA34 DATA41 DATA35 VDD_IO VDD VDD VDD_IO VDD VDD VDD VDD VDD_IO VDD VSS VDD VDD VDD VDD VDD_IO ADDR14 ADDR19 ADDR24 Pin No. P1 P2 P3 P4 P5 P6 P7 P8 P9 P10 P11 P12 P13 P14 P15 P16 P17 P18 P19 P20 P21 P22 W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W13 W14 W15 W16 W17 W18 W19 W20 W21 W22 Mnemonic L1DAT4 L1CLKOUT L1CLKIN VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD_IO VDD_IO ADDR31 RAS SDCKE DATA40 DATA43 DATA46 VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO ADDR12 ADDR17 ADDR20 Pin No. R1 R2 R3 R4 R5 R6 R7 R8 R9 R10 R11 R12 R13 R14 R15 R16 R17 R18 R19 R20 R21 R22 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 Y16 Y17 Y18 Y19 Y20 Y21 Y22 Mnemonic L1DAT6 DATA32 DATA33 VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO ADDR28 ADDR29 CAS DATA42 DATA45 L2DAT5 DATA48 DATA52 DATA58 DATA60 DATA63 L2DAT4 L2CLKOUT NC BR4 ACK CPA ADDR0 BR7 HBG ADDR1 ADDR11 ADDR21 ADDR18 ADDR16
Rev. B
|
Page 38 of 44 |
December 2004
ADSP-TS101S
Table 33. 484-Ball (19 mm x 19 mm) PBGA Pin Assignments (Continued)
Pin No. AA1 AA2 AA3 AA4 AA5 AA6 AA7 AA8 AA9 AA10 AA11 AA12 AA13 AA14 AA15 AA16 AA17 AA18 AA19 AA20 AA21 AA22 Mnemonic DATA44 DATA50 DATA47 DATA49 DATA51 DATA54 DATA57 DATA61 L2DAT0 L2DAT3 L2DAT7 BR2 BR6 HBR DPA ADDR2 ADDR5 ADDR8 SDA10 ADDR10 ADDR13 ADDR15 Pin No. AB1 AB2 AB3 AB4 AB5 AB6 AB7 AB8 AB9 AB10 AB11 AB12 AB13 AB14 AB15 AB16 AB17 AB18 AB19 AB20 AB21 AB22
2 1 A B C D E F G H J K L M N P R T U V W Y AA AB VDD_A VSS_A KEY: VDD VDD_IO VSS SIGNAL 3
Mnemonic VSS DATA53 DATA55 DATA56 DATA59 DATA62 L2DAT1 L2DAT2 L2DAT6 L2CLKIN L2DIR BR0 BR1 BR3 BR5 BOFF ADDR3 ADDR4 ADDR6 ADDR7 ADDR9 VSS
4 5 6 7 8 9
Pin No. Mnemonic
Pin No. Mnemonic
Pin No. Mnemonic
10 11
12 13
14 15
16 17
18 19
20 21
22
TOP VIEW
Figure 40. 484-Ball PBGA Pin Configurations (Top View, Summary)
Rev. B
|
Page 39 of 44 |
December 2004
ADSP-TS101S
Table 34. 625-Ball (27 mm x 27 mm) PBGA Pin Assignments
Pin No. A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12 F13 F14 F15 F16 F17 F18 F19 F20 F21 F22 F23 F24 F25 Mnemonic VSS DATA17 DATA14 DATA11 DATA9 DATA7 DATA4 DATA1 L0DIR L0DAT7 L0DAT4 L0DAT1 LCLK_N LCLK_P VDD_A SCLK_N VREF DS1 CONTROLIMP2 RESET DMAR2 EMU TRST TMS VSS DATA26 DATA25 DATA24 VDD_IO VDD_IO VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD_IO BM BUSLOCK TMR0E Pin No. B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 G17 G18 G19 G20 G21 G22 G23 G24 G25 Mnemonic VSS VSS DATA16 DATA13 DATA12 DATA10 DATA5 DATA2 NC L0CLKOUT L0DAT5 L0DAT2 VSS VSS VSS_A SCLK_P VSS DS2 CONTROLIMP1 DMAR3 DMAR0 IRQ3 TCK IRQ1 TDO DATA29 DATA28 DATA27 VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO FLAG3 FLAG2 FLAG1 Pin No. C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 H11 H12 H13 H14 H15 H16 H17 H18 H19 H20 H21 H22 H23 H24 H25 Mnemonic VSS DATA20 DATA21 DATA18 DATA15 DATA8 DATA6 DATA3 DATA0 L0CLKIN L0DAT6 L0DAT3 L0DAT0 VSS_A VDD_A VSS DS0 CONTROLIMP0 DMAR1 TDI IRQ2 LCLKRAT0 LCLKRAT1 IRQ0 VSS L3DAT0 DATA31 DATA30 VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO FLAG0 ID2 ID1 Pin No. D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 J1 J2 J3 J4 J5 J6 J7 J8 J9 J10 J11 J12 J13 J14 J15 J16 J17 J18 J19 J20 J21 J22 J23 J24 J25 Mnemonic VSS VSS DATA19 VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO BMS VSS VSS L3DAT3 L3DAT2 L3DAT1 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO ID0 NC NC Pin No. E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E12 E13 E14 E15 E16 E17 E18 E19 E20 E21 E22 E23 E24 E25 K1 K2 K3 K4 K5 K6 K7 K8 K9 K10 K11 K12 K13 K14 K15 K16 K17 K18 K19 K20 K21 K22 K23 K24 K25 Mnemonic DATA23 DATA22 VSS VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD_IO VSS SCLKFREQ LCLKRAT2 L3DAT6 L3DAT5 L3DAT4 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO NC NC NC
Rev. B
|
Page 40 of 44 |
December 2004
ADSP-TS101S
Table 34. 625-Ball (27 mm x 27 mm) PBGA Pin Assignments (Continued)
Pin No. L1 L2 L3 L4 L5 L6 L7 L8 L9 L10 L11 L12 L13 L14 L15 L16 L17 L18 L19 L20 L21 L22 L23 L24 L25 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 T23 T24 T25 Mnemonic L3CLKIN L3CLKOUT L3DAT7 VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO NC NC FLYBY NC L1DIR L1CLKIN VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO SDCKE NC SDWE Pin No. M1 M2 M3 M4 M5 M6 M7 M8 M9 M10 M11 M12 M13 M14 M15 M16 M17 M18 M19 M20 M21 M22 M23 M24 M25 U1 U2 U3 U4 U5 U6 U7 U8 U9 U10 U11 U12 U13 U14 U15 U16 U17 U18 U19 U20 U21 U22 U23 U24 U25 Mnemonic L1DAT0 NC L3DIR VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO IOEN MSH BRST DATA34 DATA33 DATA32 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO CAS NC RAS Pin No. N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 N11 N12 N13 N14 N15 N16 N17 N18 N19 N20 N21 N22 N23 N24 N25 V1 V2 V3 V4 V5 V6 V7 V8 V9 V10 V11 V12 V13 V14 V15 V16 V17 V18 V19 V20 V21 V22 V23 V24 V25 Mnemonic L1DAT2 NC L1DAT1 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD_IO VDD_IO WRH WRL RD DATA37 DATA36 DATA35 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO ADDR31 ADDR30 ADDR29 Pin No. P1 P2 P3 P4 P5 P6 P7 P8 P9 P10 P11 P12 P13 P14 P15 P16 P17 P18 P19 P20 P21 P22 P23 P24 P25 W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W13 W14 W15 W16 W17 W18 W19 W20 W21 W22 W23 W24 W25 Mnemonic L1DAT5 L1DAT4 L1DAT3 VDD_IO VDD_IO VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO MS1 MS0 HDQM DATA40 DATA39 DATA38 VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO ADDR28 NC ADDR27 Pin No. R1 R2 R3 R4 R5 R6 R7 R8 R9 R10 R11 R12 R13 R14 R15 R16 R17 R18 R19 R20 R21 R22 R23 R24 R25 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 Y16 Y17 Y18 Y19 Y20 Y21 Y22 Y23 Y24 Y25 Mnemonic L1CLKOUT L1DAT7 L1DAT6 VDD_IO VDD VDD VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS VDD VDD VDD_IO LDQM NC MSSD DATA43 DATA42 DATA41 VDD_IO VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD_IO VDD_IO ADDR26 ADDR25 ADDR24
Rev. B
|
Page 41 of 44 |
December 2004
ADSP-TS101S
Table 34. 625-Ball (27 mm x 27 mm) PBGA Pin Assignments (Continued)
Pin No. AA1 AA2 AA3 AA4 AA5 AA6 AA7 AA8 AA9 AA10 AA11 AA12 AA13 AA14 AA15 AA16 AA17 AA18 AA19 AA20 AA21 AA22 AA23 AA24 AA25 Mnemonic DATA46 DATA45 DATA44 VDD_IO VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO VDD VDD VDD_IO VDD_IO ADDR23 ADDR22 ADDR21 Pin No. AB1 AB2 AB3 AB4 AB5 AB6 AB7 AB8 AB9 AB10 AB11 AB12 AB13 AB14 AB15 AB16 AB17 AB18 AB19 AB20 AB21 AB22 AB23 AB24 AB25
2 1 A B C D E F G H J K L M N P R T U V W Y AA AB AC AD AE VSS_A KEY: VDD VDD_IO VSS SIGNAL VDD_A 3
Mnemonic DATA49 DATA48 DATA47 VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO VDD_IO ADDR20 ADDR19 ADDR18
4 5 6 7 8 9
Pin No. AC1 AC2 AC3 AC4 AC5 AC6 AC7 AC8 AC9 AC10 AC11 AC12 AC13 AC14 AC15 AC16 AC17 AC18 AC19 AC20 AC21 AC22 AC23 AC24 AC25
10 11 12 13
Mnemonic VSS VSS DATA50 DATA51 DATA54 DATA57 DATA60 DATA63 L2DAT2 L2DAT5 L2CLKOUT NC BR2 BR5 ACK HBG ADDR0 ADDR3 ADDR6 ADDR9 ADDR11 ADDR14 VSS ADDR17 ADDR16
14 15 16 17 18 19
Pin No. AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25
20 21 22 23
Mnemonic VSS VSS VSS DATA52 DATA55 DATA58 DATA61 L2DAT0 L2DAT3 L2DAT6 L2CLKIN BR0 BR3 BR6 HBR CPA ADDR1 ADDR4 ADDR7 SDA10 ADDR12 ADDR15 VSS VSS VSS
24 25
Pin No. AE1 AE2 AE3 AE4 AE5 AE6 AE7 AE8 AE9 AE10 AE11 AE12 AE13 AE14 AE15 AE16 AE17 AE18 AE19 AE20 AE21 AE22 AE23 AE24 AE25
TOP VIEW
Mnemonic VSS VSS VSS DATA53 DATA56 DATA59 DATA62 L2DAT1 L2DAT4 L2DAT7 L2DIR BR1 BR4 BR7 BOFF DPA ADDR2 ADDR5 ADDR8 ADDR10 ADDR13 VSS VSS VSS VSS
Figure 41. 625-Ball PBGA Pin Configurations (Top View, Summary)
Rev. B
|
Page 42 of 44 |
December 2004
ADSP-TS101S
OUTLINE DIMENSIONS
The ADSP-TS101S is available in a 19 mm x 19 mm, 484-ball PBGA package with 22 rows of balls (B-484); the DSP also is available in a 27 mm x 27 mm, 625-ball PBGA package with 25 rows of balls (B-625).
19.10 19.00 18.90 1.10 BSC
22 20 18 16 14 12 10 8 6 4 2 21 19 17 15 13 11 9 7 5 3 1 A B C D E F G H J K L M N P R T U V W Y AA AB 19.10 19.00 SQ 18.90 BOTTOM VIEW DETAIL A
17.05 16.95 16.85
19.10 19.00 18.90
16.80 BSC SQ
0.80 BSC SQ BALL PITCH 1.10 BSC
17.05 16.95 16.85 TOP VIEW
2.50 MAX
0.65 0.55 0.45 SEATING PLANE BALL DIAMETER 0.55 0.50 0.45 DETAIL A
1.30 MAX
NOTES: 1. ALL DIMENSIONS ARE IN MILLIMETERS. 2. THE ACTUAL POSITION OF THE BALL GRID IS WITHIN 0.25 mm OF ITS IDEAL POSITION RELATIVE TO THE PACKAGE EDGES. 3. THE ACTUAL POSITION OF EACH BALL IS WITHIN 0.10 mm OF ITS IDEAL POSITION RELATIVE TO THE BALL GRID. 4. CENTER DIMENSIONS ARE NOMINAL.
0.40 MIN 0.20 MAX
Figure 42. 484-Ball PBGA (B-484)
Rev. B
|
Page 43 of 44 |
December 2004
ADSP-TS101S
27.20 27.00 26.80 24 22 20 18 16 14 12 10 8 6 4 2 25 23 21 19 17 15 13 11 9 7 5 3 1 A B C D E F G H J K L M N P R T U V W Y AA AB AC AD AE 27.20 27.00 SQ 26.80 BOTTOM VIEW DETAIL A 2.50 MAX 0.65 0.55 0.45 SEATING PLANE BALL DIAMETER 0.70 0.60 0.50 1.25 MAX
1.50 BSC SQ 24.00 BSC SQ
24.20 24.00 23.80
27.20 27.00 26.80
1.00 BSC SQ BALL PITCH 24.20 24.00 23.80 TOP VIEW 1.50 BSC SQ
NOTES: 1. ALL DIMENSIONS ARE IN MILLIMETERS. 2. THE ACTUAL POSITION OF THE BALL GRID IS WITHIN 0.25 mm OF ITS IDEAL POSITION RELATIVE TO THE PACKAGE EDGES. 3. THE ACTUAL POSITION OF EACH BALL IS WITHIN 0.10 mm OF ITS IDEAL POSITION RELATIVE TO THE BALL GRID. 4. CENTER DIMENSIONS ARE NOMINAL. 5. THIS PACKAGE COMPLIES WITH THE JEDEC MS-034 SPECIFICATION, BUT USES TIGHTER TOLERANCES THAN THE MAXIMUMS ALLOWED IN THAT SPECIFICATION.
0.40 MIN 0.20 MAX
DETAIL A
Figure 43. 625-Ball PBGA (B-625)
ORDERING GUIDE
Part Number1, 2, 3, 4 ADSP-TS101SAB1-000 ADSP-TS101SAB2-000 ADSP-TS101SAB1-100 ADSP-TS101SAB2-100
1 2
Temperature Range (Case) -40C to +85C -40C to +85C -40C to +85C -40C to +85C
Core Clock (CCLK) Rate5 250 MHz 250 MHz 300 MHz 300 MHz
On-Chip SRAM 6M Bit 6M Bit 6M Bit 6M Bit
Operating Voltage (V) 1.2 VDD, 3.3 VDD_IO 1.2 VDD, 3.3 VDD_IO 1.2 VDD, 3.3 VDD_IO 1.2 VDD, 3.3 VDD_IO
Package (B-625)6 (B-484)7 (B-625)6 (B-484)7
S indicates 1.2 V and 3.3 V supplies. A indicates -40C to +85C temperature. 3 B1 = B-625, plastic ball grid array (PBGA); B2 = B-484, plastic ball grid array (PBGA). 4 000 indicates 250 MHz speed grade; 100 indicates 300 MHz speed grade. 5 The instruction rate runs at the internal DSP clock (CCLK) rate. 6 The B-625 package measures 27 mm x 27 mm. 7 The B-484 package measures 19 mm x 19 mm.
(c) 2004 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners.
D03164-0-12/04(B)
Rev. B
|
Page 44 of 44 |
December 2004


▲Up To Search▲   

 
Price & Availability of ADSP-TS101S

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X